ImageVerifierCode 换一换
格式:DOCX , 页数:5 ,大小:207.66KB ,
资源ID:15969716      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/15969716.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(实验一QUARTUSII入门和分频器设计Word格式.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

实验一QUARTUSII入门和分频器设计Word格式.docx

1、 学生姓名 张宗男 实验日期 成 绩 指导教师 实验一 QUARTUS II入门和分频器设计一、实验目的1 掌握QUARTUS II工具的基本使用方法;2 掌握FPGA基本开发流程和DE2开发板的使用方法;3 学习分频器设计方法。二、实验内容1运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。三、实验环境1软件工具:QUARTUS II 软件;开发语言:VHDL;2硬件平台:DE2实验开发板。四、实验过程1设计思路(1)、18个开关控制18个LED灯,

2、通过低位四个开关的10控制LED灯上7段灯的显示(2)、实现10分频IF(count=1001) THENcount=0000;clk_temp=NOT clk_temp;达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。2VHDL源程序LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6); LEDR :OUT STD_LOGIC

3、_VECTOR(0 TO 17);END e_zhangzongnan;ARCHITECTURE Behavior OF e_zhangzongnan ISSIGNAL temp :STD_LOGIC_VECTOR(0 TO 3);BEGIN LEDR=SW; temp(3)=SW(0); temp(2)=SW(1); temp(1)=SW(2); temp(0)HEX01001000 END CASE; END PROCESS;END Behavior;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.A

4、LL;ENTITY f_zhangzongnan ISPORT(clk: IN STD_LOGIC; clk_div10: OUT STD_LOGIC);END ENTITY f_zhangzongnan;ARCHITECTURE rtl OF f_zhangzongnan ISSIGNAL count: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL clk_temp: STD_LOGIC;PROCESS(clk)IF(clkevent AND clk=1) THEN IF(count=ELSE=count+1;END IF;END PROCESS;clk_div10= clk_temp;END ARCHITECTURE rtl;实验结果分析能够实现相应的功能。从波形图可以看出,能够实现10分频。六、实验总结 通过这次实验,我对QUARTUS的新建,输代码,运行,仿真,烧写,和学习板的操作都相应的有了认识和学习,通过代码的编写和修改,对EDA语言有了比课堂上更加深刻的认识和掌握,为以后的学习奠定了一定的基础。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1