ImageVerifierCode 换一换
格式:DOCX , 页数:6 ,大小:222.96KB ,
资源ID:15812095      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/15812095.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(MSP430单片机 BSL说明Word下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

MSP430单片机 BSL说明Word下载.docx

1、无需再用MAX232转换;广泛应用于电台改装、手机刷机、XBOX360刷机、GPS、汽车检测,DVD刷机升级、硬盘维修、刷写路由器固件、机顶盒升级等功能。7.具备USB转串口/TTL功能,通过上位机测试软件,且在单片机内写好通讯测试程序,即可使用此模块做MSP430应用系统和PC机之间的通讯实验(将编程器的1脚BSLTX,3脚BSLRX和5脚GND与MSP430的TXD,RXD和GND相连即可);8.无需IAR开发环境进行下载,从而使批量生产的效率更高;使用简单可靠,使批量生产成为现实;9.带电源指示灯,数据收发指示灯,工作状态一目了然;10.STC下载注意事项:单片机必须为最小系统,外部晶振

2、选11.0592M,ISP下载界面第三步必须设置波特率为1200,外部VCC供电必须为独立供电。STC单片机下载界面如下(软件可向卖家索要):编程接口说明:MSP430 BSL编程器10芯电缆线定义如图所示,兼容TI原装MSP-BSL工具的电缆线与目标板,BSL的1、2、3、4、5、6、7 分别定义为的TXD、TCK、RXD、RST、GND、VCC、TST,编程器与单片机连接如下图所示,6 脚为BSL为目标板或用户板提供的3.3V电源输出口(如连接连接F149的1脚DVcc)。BSL的2脚对于11x、12x系列中不能与单片机的TCK相连;如果目标板或用户板有外部电源,则6 脚不要连接到目标板或

3、用户板的VCC,只需连接编程器的1-5脚即可(如F14X系列)。MSP430 BSL编程器与目标板或用户板连接图引脚名称F11X/F12XF13X/F14XF15X/F16XF21X1/F22X2F22X4F23X/F24X(1)F241X/F261XF4XX1TXDP1.1P1.02TCK-3RXDP2.24RST5DGND6VCC(3.3V)DVCC7TSTTEST如果是对5系列的430进行BSL编程,以F5438为例,按如下定义连接:1.TXD P11;2.TCK 不接;3.RXD P12;4.RST;5.DGND;6.DVCC(3.3V);7.TST TEST;BSL编程步骤说明:1、

4、安装USB驱动程序(驱动下载地址: )首先安装USB驱动程序,安装完成后将模块插到计算机的USB口上可在Windows的设备管理器中看到串口序号如COM3。2、测试模块好坏(此步骤可省略,商品出货前均经过严格的测试,确保无误)首先将TXD和RXD用短路子短接(编程接口的1和3,使模块自发自收);再将编程器(延长线可接可不接)插到计算机的USB口上(系统自动加载驱动),打开【串口调试助手】(下载地址: ),发送任意内容,看是否接收到相同内容,就可以判断模块的好坏。3、打开BSL编程软件中并做相应设置1)按照BSL编程器接口图将编程器与目标板或用户板连接起来(用杜邦线或电缆带);目标板或用户板无需

5、带电,只需连接编程器的1-6脚即可(如F14X系列);如果目标板或用户板加上外部电源,则6脚不要连接到目标板或用户板的VCC,只需连接编程器的1-5脚即可(如F14X系列)。2)将编程器(延长线可接可不接)插到计算机的USB口上;3)打开BSL编程软件;4)选择好相应串口号;5)装载烧录文件(*.TXT),见“注1”;5)其它设置同下图勾选;6)点击“运行”即可编程;注1: 编程的TXT文件是TI公司为MSP430单片机定义的一种编程代码格式,其内容为纯文本格式,使用任何文本编辑器都能对其进行阅读,可按照以下方式生成TXT文件:首先安装IAR软件,安装完后要重启,打开或新建一个IAR工程(新建

6、工程后需加载相应的源程序文件,一般为C文件)之后,点击菜单ProjectOptions.LinkerOutput FormatOtherOutput一栏中选择“Msp430-txt”即可,重新编译连接后生成的txt文件将出现在你的工程路径下的debugExe目录下。BSL编程使用注意:1.对于不同型号的芯片,BSL发送和接收引脚不同,请看相关器件数据手册BSL 部分的说明。对于未使用的BSL引脚,应为悬空处理,外部不得接入电源,避免损坏编程器。对于RST 复位引脚,应确保在目标板上没有看门狗等复位芯片,否则将造成芯片复位的不正常,引起烧写失败。2.软件使用注意:(1)连接模式:有BSL、HBS

7、L两种,其中HBSL 模式:即高速BSL。F5XX 的高速BSL 为57600 bps、非F5 系列的为38400 bps,所有系列默认为9600 bps。有些芯片内部集成的BSL 程序版本比较低,本身就不支持高速模式,肯请留意。(2)擦除选择:这个确定了编程时选择擦除操作的作用域。选择了哪部分,擦除时就只擦除你选中的作用域。主FLASH:只擦除Main FLASH信息FLASH:只擦InfoBInfoCInf0D;若信息段只有2 段的芯片,则擦除的是InfoB(如F449)。保护信息FLASH:只擦除InfoA。F2xx 系列请慎用,因为该系列InfoA 段存放着DCO 的校准配置值。需要编

8、程的内存空间必须在擦除的作用域内。因为在FLASH 存储介质中,编程就是写0 操作,擦除才是写1 操作。(通常擦除的最小单位是一个段。)如果对某块地址空间反复编程却没有执行擦除操作,将会导致编程错误,所以推荐选择编程校验功能。选择了编程校验时,如果发现有编程错误,编程器就会报警并终止编程操作,要求重新编程操作。(3)行为:包括擦除FLASH、擦除校验、编程、编程校验、写序列号、运行、读目标代码等几个部分。擦除FLASH:执行擦除FLASH 操作。擦除的作用域与“擦除选择”内用户的选择有关。擦除校验:编程器会校验目标芯片的FLASH 是否为0xFF。编程:把装载到编程器内的目标代码转化成JTAG

9、 或者UART(BSL)协议,下载到目标芯片内。编程校验:校验下载到目标芯片内的代码和编程器内装载的代码是否一致。支持芯片:MSP430X1XX:MSP430F1101A、 MSP430F1111A、MSP430F1121A、MSP430F1122、MSP430F1132、MSP430F122、MSP430F123、MSP430F1222、MSP430F1232、MSP430F133、MSP430F135、MSP430F147、MSP430F1471、MSP430F148、 MSP430F1481、MSP430F149、MSP430F1491、MSP430F155、MSP430F156、MSP

10、430F157、 MSP430F167、MSP430F168、MSP430F169、MSP430F1610、MSP430F1611、MSP430F1612.MSP430X2XX:MSP430F2101 、MSP430F2111、MSP430F2121 、MSP430F2131 、MSP430F2112 、MSP430F2122 、MSP430F2132 、MSP430F2232 、MSP430F2234 、MSP430F2252 、MSP430F2254 、MSP430F2272 、MSP430F2274 、MSP430F233 、MSP430F2330 、MSP430F235 、MSP430

11、F2370 、MSP430F247 、MSP430F2471 、MSP430F248 、MSP430F2481、MSP430F249 、MSP430F2491 、MSP430F2410 、MSP430F2416 、MSP430F2417 、MSP430F2418 、MSP430F2419 、MSP430F2616 、MSP430F2617 、MSP430F2618、MSP430F2619.MSP430X4XX:MSP430F412、 MSP430F413、MSP430F4132、MSP430F415、MSP430F4152、MSP430F417、MSP430F423、MSP430FE423、M

12、SP430FW423、 MSP430FE4232、MSP430FE4242、MSP430F425、MSP430FE425、MSP430FW425、 MSP430F4250、MSP430FG4250、MSP430FE4252、MSP430F4260、SP430FG4260、MSP430F427 、MSP430FE427 、MSP430FW427 、MSP430F4270 MSP430FG4270 、MSP430FE4270 、MSP430F435 、MSP430F4351 、MSP430F436 、MSP430F4361 、MSP430F437 、MSP430F4371 、MSP430F447、

13、MSP430F448、MSP430F4481、MSP430F449、MSP430F4491、MSP430FG437、MSP430FG438 、MSP430FG439 、MSP430FG4616 、MSP430FG46161、MSP430FG4617、MSP430FG46171、MSP430FG4618、MSP430FG46181、MSP430FG4619、MSP430FG46191、MSP430FG477、MSP430FG478、MSP430FG479 、MSP430F47166、MSP430F47167、MSP430F47176、MSP430F47177、MSP430F47186、MSP430F47187、MSP430F47196、MSP430F47197、MSP430F4

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1