ImageVerifierCode 换一换
格式:DOCX , 页数:36 ,大小:3.70MB ,
资源ID:15810962      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/15810962.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的四层电梯控制系统设计毕业论文Word下载.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的四层电梯控制系统设计毕业论文Word下载.docx

1、 刘刊儒 指导老师: 严 飞 信息科学技术学院摘 要随着科技的发展,电梯早在上个世纪就已进入人们的生活。对于电梯的控制,传统的方法是使用继电器接触器控制系统进行控制。随着EDA技术的发展,FPGA已广泛应用于各项电子设计中,本设计即利用FPGA来实现对电梯控制系统的设计。本文基于VHDL即超高速集成电路硬件描述语言开发的四层电梯控制系统,以 QuartusII为开发环境,最终在EDA实验箱上实现四层电梯控制系统的基本功能,其功能包括:显示电梯楼层数、响应楼层请求、电梯升降指示、电梯开关门、电梯开门超时报警等。本文采用模块化设计的方法,整个系统主要分为五个模块,其中包括:请求信号显示模块、楼层检

2、测模块、数码管楼层显示模块、开关门控制模块及电梯运行模块。首先,通过EDA实验箱上的按键输入楼层请求,其中包括电梯内部楼层请求、电梯外上升请求及电梯外下降请求;同时,利用LED灯及数码管显示输入的请求;然后,遵照电梯运行时的方向优先原则及内部响应优先原则来逐次应答请求;并且,如果开门时间过长,电梯将发出报警并自动关门。关键字:电梯控制;EDA;FPGA;QuartusII;VHDL ABSTRACTWith the development of scientific technology, lift has come into our daily life since last century

3、. The traditional approach for elevator control is to use relay-contactor system. While, thanks to the improvement of EDA technology, FPGA has been widely used in various of electronic design. And this design uses the FPGA to realize the elevator control.Firstly, required information, including the

4、interior floor required information and external required information for rising and external required information for dropping, will be input by button. Secondly, the required information will be show by LED. And then, following the principle of operation, the elevator control system will respond r

5、equired information one by one. Finally, there is an alarm for overtime.This design is an elevator control system basing on VHDL. In the development environment of Quartus, the ultimate functions will be realize in test case of EDA. The basic functions of this elevator include showing the current fl

6、oor of elevator, responding the required information of elevator, controlling the door of elevator and alarming for overtime. And this elevator control system use modularization design. There are five block in this design, including displaying required information, detecting current floor, displayin

7、g current floor by LED, the control of the door and operation of elevator.Key words: elevator control system;EDA; FPGA; Quartus II; VHDL 第1章绪论1.1电梯的起源电梯,是指动力驱动,利用刚性导轨运行的箱体或者沿固定线路运行的梯级,进行升降或者平行运送人、货物的机电设备,包括人(货)电梯、自动扶梯、自动人行道。习惯上不论其驱动方式如何,将电梯作为建筑物内垂直交通运输工具的总称。其实,人类利用升降工具运输货物、人员的历史非常悠久。早在公元前2600年,埃及人在建

8、造金字塔时就使用了最原始的升降系统,这套系统的基本原理至今仍无变化:即一个平衡物下降的同时,负载平台上升。早期的升降工具基本以人力为动力。英国科学家瓦特发明蒸汽机后,起重机装置开始采用蒸汽为动力。紧随其后,威廉汤姆逊研制出用液压驱动的升降梯。在这些升降梯的基础上,一代又一代富有创新精神的工程师们在不断改进升降梯的技术。然而,安全性问题是人类一直在突破的问题。直到1854年,美国人伊莱沙格雷夫斯奥的发明了人类历史上第一部安全升降梯。在纽约水晶宫举行的世界博览会上,他向世人展示了这一伟大的伟大的发明。此后搭乘升降梯不再是“勇敢者的游戏”了,升降梯在世界范围内得到广泛应用。1889年12月,美国奥的

9、斯电梯公司制造出了名副其实的电梯,它采用直流电动机为动力,通过蜗轮减速器带动卷筒上缠绕的绳索,悬挂并升降轿厢。1.2电梯的现状与发展随着社会的发展,越来越多高层建筑出现在人们的生活中,电梯作为高层建筑中不可缺少的垂直运输设备,已然成为城市物质文明的一个重要标志。随着电梯的普遍使用,电梯功能也在随之提高,其控制方式也在不断的改善。150年来,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新,一款款集纳了人类在机械、电子、光学等领域最新科研成果的新型电梯竞相问世,冷冰冰的建筑因此散射出人性的光辉,人们的生活因此变得更加美好。伴随经济的快速发展,我国的电梯行业正经历着一个高速发展

10、期。据前瞻网统计,我国电梯产量从1990年的万台增长到2010年的万台,年复合增长率%,国内电梯需求量从2000年的仅万台增长到2010年的万台,到2010年底,我国电梯保有量超过160万台。1.3四层电梯控制系统的设计要求本次设计的基于FPGA的四层电梯控制系统,要求按键输入楼层请求、各楼层的上升下降请求及快速关门与延时关门,LED灯显示按键请求的状况以及电梯运行方向和电梯开关门状况,数码管显示电梯当前所在楼层。具体要求如下:(1)每层电梯设有上升和下降请求开关,电梯内设有乘客到达层次的停站请求开关;(2)显示电梯当前所在楼层以及电梯运行模式(上升或下降);(3)电梯每3秒升降一层,电梯到达

11、有停站请求的楼层后,电梯门开,开门指示灯亮,开门5秒后,电梯关门,关门指示灯灭,电梯继续运行,直到完成所有请求信号;(4)电梯运行中,遵循电梯内部楼层请求响应优先的原则,响应请求信号时遵循运行方向优先的规则:当电梯处于上升模式时,优先响应比电梯所在位置高的上楼信号,由下至上执行;若多个楼层都有下降请求,则直接到达有下降请求的最高层,然后进入下降模式。当电梯处于下降模式时,电梯运行规律与上升模式相反;(5)电梯设有延时关门与快速关门功能。当启用延时关门时,电梯延时3秒关门。当启用快速关门电梯则1秒后立即关门。当电梯开门时间超过10秒,则报警灯亮且2秒后电梯自动关门;(6)电梯初始状态为一层关门。

12、第2章开发技术基础2.1EDA技术简介2.1.1EDA技术基本概述 EDA技术是以计算机为工作平台,以EDA软件软件工具为开发环境,以硬件描述语言为设计语言,以可编程逻辑器件为实验载体,以ASIC、SoC芯片为目标器件,以数字系统设计为应用方向的电子产品自动化设计过程1 13。 EDA技术与传统的硬件技术以及软件技术有着很大的差别,它打破了计算机软件以及硬件间的隔阂,使计算机软件和硬件之间的结合得以实现,设计效率与产品性能互相融合。因此,它代表了数字电子设计技术和应用技术的发展方向。2.1.2EDA技术的基本特征 EDA技术的广泛应用,是电子技术的最新发展方向。EDA技术具备着自己独特的优势,

13、设计人员首先按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,然后采用硬件描述语言完成系统行为设计,最后通过综合器和适配器生成目标器件,这样的设计方法被称为高层次的电子设计方法。因此,EDA技术具备以下几个基本的特征:(1)“自顶向下”的设计方法 传统的电子设计技术采取的是“自底向上”的设计方法,这种设计方法不仅效率低、易出错,而且成本很高。随着技术的发展,当今的EDA技术采取“自顶向下”的全新设计方法,在结构上对整体功能实现模块化,分块进行仿真、纠错,这样有利于程序设计的修改,大大提高了设计的效率以及正确率13。(2)设计中采用硬件描述语言 采用硬件描述语言进行电路与系统的描述是

14、当前EDA技术的另一个特征。HDL(Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,通过利用硬件描述语言,利用软件编程的方式将硬件电路结构、电子系统的逻辑功能连接起来。硬件描述语言的应用是现在的发展趋势,它可以大大的缩小设计成本,减少研发的周期,不仅适用于小批量的生产,同时也适合于大批量的产品的成产,因此,不仅在设计研发上,在工业生产中都得到了广泛的应用13。(3)具有逻辑综合和优化功能 逻辑综合功能是指将高层次的抽象描述转化为低级别抽象描述的一种方法,即根据芯片制造商提供的基本电路单元库,将硬件描述语言描述的电路转换为电路网表或者是一组逻辑

15、方程13。优化功能是指根据布尔方程等效的原则,利用逻辑综合生成的结果,采取更小更快的综合结果代替一些复杂的单元,然后根据指定的目标将其映射成新的网表或者一组逻辑方程。优化的作用是将电路设计的时延缩短到最小和有效利用资源。几乎所有的EDA综合工具都可以利用约束条件对电路进行优化。(4) 具有开放性和标准化的软件架构 软件架构是一套配置使用的规范软件包,其提供给EDA开发的平台以及相应的开发环境。同时,架构还包括了各种与数据库相关的服务。架构能将来自不同厂商生产的工具软件进行优化组合,集成在一个方便管理的统一的环境下,这样有利与实现资源的优化配置以及资源共享13。2.1.3EDA技术的主要内容 EDA技术涉及面广,从教学和实用的角度看,主要有以下四个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统12。 大规模可编程器件是利用EDA技术进行电子系统设计的载体;硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段;软件开发工具是利用EDA技术进行电子系统设计的智能化、自动化的设计工

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1