ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:350.60KB ,
资源ID:15339375      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/15339375.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计四人智力竞赛计数抢答器Word格式文档下载.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计四人智力竞赛计数抢答器Word格式文档下载.docx

1、Cyclone FPGA内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以及CMOS、TTL电平兼容。可以说,FPGA芯片是小批

2、量系统提高系统集成度、可靠性的最佳选择之一。 四、功能模块1:library ieee;use ieee.std_logic_1164.all;entity feng is port(cp,clr:in std_logic; q :out std_logic);end feng;architecture feng_arc of feng isbegin process(cp,clr) begin if clr=0 then q=; elsif cpevent and cp= then 1 end if;end process;end feng_arc;此程序为抢答鉴别模块feng该模块主要实现

3、抢答和鉴别的功能。在主持人发出抢答指令以后,若 有参赛者按下抢答器的按钮,该模块将参赛者按下按键的信号输出高电平给锁 存模块。 图2 抢答鉴别模块的仿真波形clr是低电平有效;当cp来个下降沿时,输出q将一直为高电平符合模块要求。2 :entity sel is port(clk: a:out integer range 0 to 7);end sel;architecture one of sel is process(clk) variable aa: integer range 0 to 7; if clkevent and clk= aa := aa + 1 ; a=aa; end p

4、rocess;end one;此程序为VHDL程序该程序生成的符号及仿真波形如下: 图3 片选信号模块仿真图当来一个脉冲时,输出将增加1,符合模块要求。3 :entity lockb is port(d1,d2,d3,d4: clk,clr : q1,q2,q3,q4,alm:end lockb;architecture lock_arc of lockb is q1 q2 q3 q4 alm elsif clk=d1;=d2;=d3;=d4;end lock_arc;此程序为锁存器模块LOCKB 该模块主要对选手按下按键进行锁存,锁存的同时发出alm信号实现声音提示。 图4 锁存模块仿真图当

5、来一个上升沿的时候,alm发挥作用,并且d1对应输出q1。4 :use ieee.std_logic_unsigned.all;entity decode3_8 is port(sel:in std_logic_vector(2 downto 0); L :out std_logic_vector(7 downto 0) );end decode3_8;architecture one of decode3_8 isbegin L q0000000 end case;此程序为deled模块该模块是七段译码器,对数据进行译码扫描: 图7 deled模块 译码模块完成了对数据在数码管上正确地显示,

6、符合模块要求。6:entity ch41a is port(d1,d2,d3,d4:out std_logic_vector (3 downto 0);end ch41a;architecture ch41_arc of ch41a is process(d1,d2,d3,d4) variable tmp:std_logic_vector ( 3 downto 0); tmp :=d1&d2&d3&d4; case tmp is1011110111101111end ch41_arc;此程序为转换模块ch41a该模块是将抢答结果转换为二进制数 图7 转换模块ch41a仿真波形将输入的抢答结果转

7、换为二进制数,符合模块要求。7 :entity ch42a is port(sel: d1,d2,d3:in std_logic_vector (3 downto 0);out std_logic_vector(3 downto 0);end ch42a;architecture ch42_arc of ch42a is process(sel,d1,d2,d3) case sel is000001111end ch42_arc;此程序为3选1模块ch42a该模块是将对应数码管片选信号,送出需要的显示信号。 图8 3选1模块ch42a的仿真波形当sel输入001时,输出为d2,符合要求。8 :entity count is port(clk,en: h,l :out std_logic_vector (3 downto 0); sound :end count;architecture count_arc of count is process(clk,en) variable hh,ll:std_lo

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1