ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:230.43KB ,
资源ID:14998006      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14998006.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA设计ADC0809文档格式.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA设计ADC0809文档格式.docx

1、、VHDL、quartus2、编译仿真、Start、EOC、OE、三态缓冲器AbstractImplementation must strictly abide by the work timing of ADC0809, an analogue for the selected channel input, adjust the potentiometer change the analog input. Using quartus2 to input text editing and try copy; The simulation waveform is given. Finally p

2、in lock and test, the control function of the hardware validation ADC0809. Concrete process is: the timing of ADC0809 VHDL code.To compile the simulation main control signal is: Start for conversion Start signal, high efficient;Ale for channel selection address signal is latched signals. , when star

3、t the conversion, program starts executing the query status, the status of 0.1. While waiting, state 2, track the status of EOC signal, judge whether the conversion is over, when the EOC = 1 said switch over, or continue to wait for; Transformation after the end of continue to query the state, if th

4、e OE signal for high level control to open the tri-state buffer, when the LOCK signal for high electricity at ordinary times, the converted data latches, ended a transformation.Keywords: Work timing、AD0809、VHDL、quartus2、Compile the simulation、Start、EOC、OE、Tri-state buffer1设计任务基于VHDL语言,实现对ADC0809简单控制

5、。2系统设计原理2.1 硬件设计原理ADC0809为单极性输入,8位转换逐次逼近A/D转换器,可对05V的INT0INT7的8路模拟电压信号分时进行转换,完成一次转换的时间为100微秒。ADD-CBA作为8路通道选择地址,在转换开始前由地址锁存允许信号ALE将3位地址锁入锁存器中,以确定转换信号通道;EOC为状态结束标志,低电平转为高电平时转换结束;START为转换启动信号,上升沿有效;OE为数据输出允许端,高电平有效;D0D7为A/D变换数据输出端。本次实验为测试方便,因此直接选择IN0端口为输入端,即使ADDC,ADDB,ADDA都接地。2.1.1 ADC0809的主要特性1)8路输入通道

6、,8位AD转换器,即分辨率为8位。2)具有转换起停控制端。3)转换时间为100s4)单个5V电源供电5)模拟输入电压范围05V,不需零点和满刻度校准。6)工作温度范围为-4085摄氏度7)低功耗,约15mW。2.1.2 ADC0809的外部特性ADC0809芯片有28条引脚,采用双列直插式封装,如图1所示。下面说明各引脚功能。IN0IN7:8路模拟量输入端。2-12-8:8位数字量输出端。ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路ALE:地址锁存允许信号,输入,高电平有效。START:AD转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿

7、使0809复位,下降沿启动A/D转换)。EOC:AD转换结束信号,输出,当AD转换结束时,此端输出一个高电平(转换期间一直为低电平)。OE:数据输出允许信号,输入,高电平有效。当AD转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。REF(+)、REF(-):基准电压。Vcc:电源,单一5V。GND:地。 图1ADC0809引脚图 图2 ADC08092.1.3工作过程首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 A/D转

8、换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平 时,输出三态门打开,转换结果的数字量输出到数据总线上。转换数据的传送 A/D转换后得到的数据应及时传送给单片机进行处理。数据传送的关键问题是如何确认A/D转换的完成,因为只有确认完成后,才能进行传送。为此可采用下述三种方式。(1)定时传送方式对于一种A/D转换器来说,转换时间作为一项技术指标是已知的和固定的。例如ADC0809转换时间为128s,相当于6MHz的MCS-51单片机共64个机器周期。可据此设计一个延时子程序,A/D

9、转换启动后即调用此子程序,延迟时间一到,转换肯定已经完成了,接着就可进行数据传送。(2)查询方式A/D转换芯片由表明转换完成的状态信号,例如ADC0809的EOC端。因此可以用查询方式,测试EOC的状态,即可确认转换是否完成,并接着进行数据传送。(3)中断方式把表明转换完成的状态信号(EOC)作为中断请求信号,以中断方式进行数据传送。不管使用上述哪种方式,只要一旦确定转换完成,即可通过指令进行数据传送。首先送出口地址并以信号有效时,OE信号即有效,把转换数据送上数据总线,供单片机接受。本次设计依据ADC809工作时序图来编写相关程序,由其时序图来判断状态机当前的状态。2.2 软件设计思路采用双

10、进程有限状态机的方法来产生ADC0809的工作时序。设计st0st7共8个工作状态,st0:初始状态;st1:产生ALE的上升沿,将地址“000”锁入0809的地址寄存器中;st2:产生采样信号START上升沿,开始A/D转换;st3:检测EOC下升沿;st4:检测EOC上升沿,转换结束;st5:数据转换结束,开启输出允许EN;st6:输出允许EN延时一个脉冲,输出数据;st7:关闭允许输出EN如表1所示。表1 状态转换表当前状态控制输入下一状态控制输出ST0时钟上升沿ST1ale=0;startenST21ST3时钟上升沿且EOC=1是ST4否时钟上升沿且EOC=0ST5ST6regl=dO

11、ther2.3 程序流程图 根据此流程图,结合表1的状态转换表可以写出ADC0809的VHDL描述。VHDL语言描述的ADC0809程序看附录一。3功能与时序仿真原先使用ISE软件进行功能仿真与时序的仿真,但是由于对ISE软件使用不够熟练,我改用Quartus 进行ADC0809的功能仿真与时序仿真。3.1 功能仿真得出的RTL图使用Quartus ,创建工程后添加一个VHDL文本,把附录的程序写进去后运行,没有出现错误。运行之后得出的RTL图如图3所示。图4 ADC0809的RTL图3.2 功能仿真得出的状态图在Quartus 中,功能仿真还能得出其状态图,如图4所示,可以看出这是一个Moo

12、re型有限状态机。图5 ADC0809的状态图3.3 时序仿真功能仿真之后就可以对其进行时序仿真,设置结束时间为350us,并设置CLK的频率为10KHZ。得到如图5所示的时序仿真图。图6 ADC0809的时序仿真图由图5可以看出符合ADC0809的工作时序,这就使用VHDL语言实现对ADC0809的简单控制。4总结通过本次的课程设计,使我对FPGA这门课程有了更深一步的理解,对知识进行了进一步的的巩固与提高,锻炼了自己的综合技能。通过小组内同学的讨论与方案的论证,大家积极献言献策,集大家的智慧,终于选出了一个较好的方案。用仿真软件进行仿真与调试,编写VHDL语言时遇到了极大地困难,这也是这项

13、任务的重中之重。在编写VHDL语言时由于前期的思路不清,导致编写的语言很混乱,在查阅了网上的资料后才有了比较清楚完备的认识,这一点对我有很大启发。在这个过程中,我对仿真软件的操作与应用能力得到了进一步的加强。这次实验让我更加注重了对这门课程的学习,了解到其重要性,这门技术必将成为今后很长一个时期的学习与应用重点,这对我们的成长与发展有极大促进作用。5参考文献1 杨志方. EDA技术及应用.华中科技大学出版社,20082 潘松. EDA技术与VHDL.清华大学出版社,20103 刘岚. FPGA应用技术基础教程.电子工业出版社,20094 曾繁泰. VHDL程序设计.清华大学出版社,20014 杨志刚. FPGA技术应用.机械工业出版社,2001附录一 程序library ieee;use ieee.std_logic_1164.all;use ieee.std

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1