ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:16.63KB ,
资源ID:14735260      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14735260.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(编译原理上机报告 targetblankWord文档下载推荐.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

编译原理上机报告 targetblankWord文档下载推荐.docx

1、为了测试所有可能的代码,我编写了下面的测试PL程序。这个程序可以编译,但是运行起来是没有意义的,它仅仅是为了包括常量,变量,数组等类型,并且包括比较复杂的表达式处理和几乎所有支持的语句,以便对编译程序进行测试。program pp; const PI=3 testconst=z testconst1=TRUE type testtype=BOOLEAN testarray=array2.20,0.50 of boolean var n,p:integer cr:char procedure p1(n:integer;var p:integer); var in_proc:char cr1:te

2、starray begin cr1(2,3):=cr1(n,cr1(n,p)+ p; in_proc:=2+p*(testconst+1); if n1 then begin p:=n*p; n:=p/n; end; call p1(n,cr1(2,5); end; call read(n); call p1(n,p); call write(p) end.为了测试运行的情况,我们编写一个稍稍简单的例子,这是参考教材中求阶乘的源程序:integer=1 then p:=1 else call p1(n-1,p); p:=n*p end四 编译结果采用编译程序对这两个PL源程序进行编译。第一个程

3、序的结果如下:0 ENTP 1 , 9 ;进入过程1 JMP 0 , 83 ;无条件跳转2 ENTP 2 , 978 ;3 JMP 0 , 4 ;4 LIT 0 , 2 ;装入常量5 LIT 0 , 2 ;6 SUB7 LIT 0 , 19 ;8 MULT9 LIT 0 , 3 ;10 ADD11 LODA 2 , 9 ;装入变量地址12 ADD13 LOD 2 , 0 ;装入变量值14 LIT 0 , 2 ;15 SUB16 LIT 0 , 19 ;17 MULT18 LOD 2 , 0 ;19 LIT 0 , 2 ;20 SUB21 LIT 0 , 19 ;22 MULT23 LOD 2

4、, 4 ;24 ADD25 LODA 2 , 9 ;26 ADD27 LODT ;装入栈顶值为地址的内容28 ADD29 LODA 2 , 9 ;30 ADD31 LODT ;32 LOD 2 , 4 ;33 ADD34 STO ;将栈顶值存入栈顶次值所指单元35 LODA 2 , 8 ;36 LIT 0 , 2 ;37 LOD 2 , 4 ;38 LIT 0 , 122 ;39 LIT 0 , 1 ;40 ADD41 MULT42 ADD43 STO ;44 LOD 2 , 0 ;45 LIT 0 , 1 ;46 LEQ ;58 JPC 0 , 69 ;59 LODA 2 , 4 ;60 L

5、OD 2 , 0 ;61 LOD 2 , 4 ;62 MULT63 STO ;64 LODA 2 , 0 ;65 LOD 2 , 4 ;66 LOD 2 , 0 ;67 IDIV68 STO ;69 OPAC ;打开活动记录70 LOD 2 , 0 ;71 LIT 0 , 2 ;72 LIT 0 , 2 ;73 SUB74 LIT 0 , 19 ;75 MULT76 LIT 0 , 5 ;77 ADD78 LODA 2 , 9 ;79 ADD80 CALL 1 , 2 ;转子81 UDIS 1 , 2 ;调整Display82 RETP ;过程返回83 LODA 1 , 0 ;84 READ

6、0 , 0 ;读指令85 OPAC ;86 LOD 1 , 0 ;87 LODA 1 , 4 ;88 CALL 1 , 2 ;89 LODA 1 , 4 ;90 WRITE 0 , 0 ;写指令91 ENDP ;程序结束第二个程序编译结果:0 ENTP 1 , 8 ;1 JMP 0 , 25 ;2 ENTP 2 , 9 ;4 LOD 2 , 0 ;5 LIT 0 , 1 ;6 LEQ ;7 JPC 0 , 12 ;8 LOD 2 , 4 ;9 LIT 0 , 1 ;10 STO ;11 JMP 0 , 24 ;12 OPAC ;14 LIT 0 , 1 ;16 LOD 2 , 4 ;17 CALL 1 , 2 ;18 UDIS 1 , 2 ;19 LOD 2 , 4 ;20 LOD 2 , 0 ;21 ILOD 2 , 4 ;间接装入23 STO ;24 RETP ;25 LODA 1 , 0 ;26 READ 0 , 0 ;27 OPAC ;28 LOD 1 , 0 ;29 LODA 1 , 4 ;30 CALL 1 , 2 ;31 LODA 1 , 4 ;32 WRITE 0 , 0 ;33 ENDP ;五 运行结果:我们用interpret.exe执行生成的obj文件,结果如下所示: Input : 51205! =120,说明程序运行完全正确。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1