ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:249.28KB ,
资源ID:1454865      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1454865.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整版基于FPGA的DDS信号发生器的研究本科毕业设计.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整版基于FPGA的DDS信号发生器的研究本科毕业设计.docx

1、完整版基于FPGA的DDS信号发生器的研究本科毕业设计毕业论文基于FPGA的DDS信号发生器的研究第1章 绪 论1.1 课题背景频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,所以频率方法的研究越来越受到重视1。在频率合成领域中,直接数字合成(Direct Digital Synthesizer,简称:DDS)是近年来新的技术, 它从相位的角度出发直接合成所需波形。 它是由美国人J.Tierncy首先提出来的,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法2。其主要优点有:频率改变速度快、

2、频率分辨率高、输出相位连续、可编程、全数字化便于集成等,目前使用最广泛的一种DDS频率合成方式是利用高速存储器将正弦波的M个样品存在其中,然后以查找的方式按均匀的速率把这些样品输入到高速数模转换器,变成所设定频率的正弦波信号3。近30年来,随着超大规模集成、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)、复杂可编程器件(Complex programmable Logic Device,简称:CPLD)等技术的出现以及对DDS理论上的进一步探讨,使得DDS技术得到了飞速的发展。它已广泛应用于通讯、雷达、遥控测试、电子对抗、以及现代化的仪器仪表工业

3、等许多领域。DDS的数字部分,即相位累加器和查表,被称为数控振荡器(NCO)4。波形发生器即通常所说的信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等

4、。而传统波形发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。1.2 国内外波形发生器发展现状1.2.1 波形发生器的发展现状在70年代前,信号发生器主要有两类:正弦波和脉冲波。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。在70年代后,微处理器的出现,可以利用处理器、AD和DA,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的

5、波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。90年代末,出现几种真正高性能、高价格的波形发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8种波形,而且价格昂贵。到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了波形发生器的发展,2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25

6、GHz。最近几年来,随着集成电路技术和器件水平的提高,国外一些公司先后推出各种各样的DDS专用芯片,如Qualcomm公司的Q2230、Q2334,AD公司的AD9955、AD9850、AD9851、AD9852等5。1.2.2 国内外波形发生器产品比较频率合成器被誉为电子系统的“心脏”,频率源的发展直接关系到电子系统性能的发展。信号发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器6。

7、早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。目前我国已经开始研制信号发生器,并获得了可喜的成果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的的种类和性能都与国外同

8、类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫7。1.2.3 研究波形发生器的目的及意义波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数8。多功能波形发生器采用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于FPGA的高速度,能实现较高频率的波形9。目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对

9、这类产品的研制显得迫在眉睫。1.3 论文的主要工作与章节安排本文主要通过分析DDS的原理,进而得到DDS信号发生器的设计方案,然后通过选材等一系列设计来完成DDS信号发生器的研究。其中第二章主要介绍DDS的基本原理以及优缺点。第三章则重点介绍了本次设计所采用的开发平台。第四章是本问重点介绍的对象,里面主要包含了设计的具体思路包括系统的实现以及系统工作流程情况。第五章是要是对实验结果进行分析。 第2章 DDS波形发生器的理论介绍2.1 DDS的基本原理与特点DDS即直接数字频率合成技术,是由美国学者J.Tiercy,M.Rader和B.Gold于1971年首次提出,是一种以数字信号处理理论为基础

10、,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法。从1971年至今,DDS已从一个工程新事物逐渐发展成为一个重要的设计工具。与大家熟悉的直接式和间接式(PLL)频率合成技术不同,DDS技术完全采用数字技术处理,属于第三代频率合成技术。DDS的主要优点是它的输出频率、相位和幅度能够在微控制器的控制下精确而快速的变换。DDS的应用领域包括各类无线通信、有线通信、网络通信,各类需要频率信号的仪器、仪表、遥测、遥感设备、收音机和电视机等10。本节以正弦信号的产生为例,阐述DDS技术的基本原理。对于一个频谱纯净的单频正弦信号可以用下式来描述: (2-1)其相位为 (2-2)显然,该正弦

11、信号相位和幅值均为连续变量。为了便于采用数字技术,应对连续的正弦信号进行离散化处理,即把相位和幅值均转化为数字量。用频率为fclk的基准时钟对正弦信号进行抽样 ,这样,在一个参考时钟周期T内,相位的变化量为 (2-3)由上式得到的为模拟量,为了将其转化为数字量,将2切割成2N等份作为最小量化单位,从而得到的数字量M为: (2-4)将式(2-3)带入(2-4)得 (2-5)式(2-5)表明,在参考时钟频fclk确定的情况下,输出正弦信号的频率fout决定于M的大小,并且与M呈线性关系。通过改变M的大小,就可以改变输出正弦信号的频率,因此,M也称频率控制字。当参考时钟频率取2N时,正弦信号的频率就

12、等于频率控制字M。当M取1时,可以得到输出信号的最小频率步进为 (2-6)由此可知,只要N取值足够大,就可以得到非常小的频率步进值。将相位转化为数字量以后,式(2-1)就可以描述为如下形式: (2-7)表示本周期相位值与前一个基准时钟周期的相位值的累加。从式(2-7)可以看出,只要用频率控制字M进行简单的累加运算,就可以得到正弦函数的当前相位值。而正弦信号的幅值就是正弦信号的当前相位值的函数。由于正弦函数为非线性函数,很难实时计算,一般通过查表的方法来快速获得函数值。有了上述理论分析,我们就可以得到一种用数字的方法获得正弦信号的方法:先构建一个N为的相位累加器,在每一个时钟周期内,将相位累加器

13、中的值与频率控制字相加,得到当前的相位值。将当前的相位值作为ROM的地址,读出ROM中的正弦波数据,再通过DA转化成模拟信号。频率控制字越大,相位累加器的输出变化越快,ROM的地址变化也越快,输出的正弦信号频率越高。需要注意的是,受ROM容量的限制,ROM地址位数一般小于相位累加器的位数,因此,把相位累加器输出的高位作为ROM的地址。只需要改变频率控制字,就可以改变输出信号的频率,因此,采用DDS技术,对输出信号频率的控制十分简单。DDS正弦信号发生器的基本原理框图如图2-1-1所示。图2-1 DDS正弦信号发生器基本原理框图 2.2 DDS信号的优点与缺点2.2.1 DDS的优点(l)输出频

14、率相对带宽较宽输出频率带宽为50%fs(理论值),实际输出带宽仍可达到40%fs。(2)频率转换时间短频率时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的转换时间可达纳微秒级数量级,比使用其他的频率合成方法都要短数个数量级。(3)频率分辨率高若时钟fs的频率不变,DDS的频率分辨率就是由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1mHz甚至更小。(4)相位变化连续改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间

15、其频率发生了突变,因而保持了信号相位的连续。(5)输出波形的灵活性只要在DDS内部加上相应控制如调频控制FM,调相控制PM和调幅控制AM即可以方便灵活实现调频,调频和调幅等功能,产生FSK,PSK,ASK,MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形的输出,如三角波,锯齿波和矩形波甚至是任意波形。当DDS的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。2.2.2 DDS的缺点(1)输出带宽范围有限由于DDS内部DAC和波形存储器(ROM)的工作速度有限,使得DDS输出的最高频率有限。目前市场上采用CMOS,TTL,EcL,工艺制作的DDS芯片

16、,工作频率一般在几十MHz至400MHz左右。采用GaAS工艺的DDS芯片工作频率可达2GHz以上。(2)输出散杂大 由于DDS采用全数字结构,不可避免地引入了散杂。其来源主要由三个:相位累加器相位舍入误差造成的散杂;幅度量化误差造成的散杂和 DAC非理想特性造成的散杂。2.3 本章小结本章主要介绍了DDS的原理。其中第一部分以正弦波为例子,对DDS原理在整个设中的重要意义进行讲解。后一部分则是分析DDS的优点以及缺点。第3章 开发平台介绍3.1 硬件平台FPGA介绍3.1.1 FPGA简介自1985年Xilinx公司推出有史以来第一颗现场可程序化逻辑组件至今,已经历了超过二十几年的发展历史。在发展过程中,以FPGA为代表的数字系统现场集成取得了惊人的发展:现场

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1