ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:105.08KB ,
资源ID:1440941      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1440941.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(aurora协议.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

aurora协议.docx

1、aurora协议aurora协议文献综述摘 要 本综述介绍了高速串行通信的现状,并简要介绍了Aurora通信协议的特点,发展和现状。着重介绍了Aurora协议的原理,接口及应用等相关知识。 关键词:Aurora;FPGA;高速串行通信AbstractThis article introduces the actuality of high-speed serial communications and the characters ,developments and actuality of the Aurora protocol.This article especially introdu

2、ces the theories ,interfaces , applications and some other knowledges.Key words:Aurora;FPGA;high-speed serial communication一、引言在典型的嵌入式系统中提高处理器的速度是改善系统性能的解决方案之一。高速缓存和更先进的处理器架构的使用。不断提高着微处理器的性能, 但研究表明处理器总线频率的增长速度相对处理器内核性能的增长速度较慢,且两者之间的差距正在不断扩大。处理器速率的提高无助于改进处理器和外设芯片之间的连接或多处理器系统中多个处理器间的连接。传统的分层共享总线已无法满足未

3、来高性能嵌入式系统的I/O性能需求和快速高效的信号处理和数据传输。系统互连,即系统内的不同组件之间彼此通信的速率,已成为制约嵌入式系统性能提高的瓶颈5。当前,在系统级互连设计中, 高速串行I/O技术迅速取代传统的并行I/O技术正成为业界趋势。高速串行I/O技术能够带来更高的性能、更低的成本和更简化的设计。人们已经意识到不论是单端并行I/O还是差分并行I/O, 发展到今天都已经达到了它们的物理极限, 无法为超过1 Gbit/s的数据速率提供可靠而低廉的实现方法。高速串行I/0技术的发展克服了并行I/O的速度瓶颈,被越来越广泛地应用于各种系统设计中,包括PC、消费电子、海量存储器、服务器、通信网络

4、、工业计算和控制、测试设备等。迄今业界已经发展出了多种串行系统接口标准,例如PCIExpress、串行RapidIO、InfiniBand、千兆以太网、10Gbit/s以太网XAUI、串行ATA等。 高速串行I/O日益受到重视,Xilinx公司也推出了用于高速串行I/O的Aurora协议。Xilinx公司的FPGA(现场可编辑门阵列)芯片中内置了RocketIO收发器,提供从400Mbps到83.2Gbps的传输速率。同时,FPGA由于其灵活性和低成本为高速串行接口的设计带来了很大的灵活性。2、Aurora协议1. Aurora简介Aurora协议是由Xilinx公司提供的一个开放、免费的链路

5、层协议,可以用来进行点到点的串行数据传输,具有实现高性能数据传输系统的高效率和简单易用的特点。Aurora允许器件之间以下图所示的方式通过多个MGT通道绑定完成通信。单个GTX通道可实现750Mbit/s-6.5Gbit/s的通信传输,多个GTX通道的组合可实现几十Gbit/s 甚至上百Gbit/s的通信传输。图1中,MGT到MGT之间的一个链接被称为“弄” 图1 aurora协议应用举例15Aurora通过为MGT提供透明的接口而将其分装缩小,允许以太网和tcp/ip等专利技术和标准协议的上层在其顶部运行并提供方便的访问。Aurora核为用户提供了一个透明的物理层接口,它支持任何上层私有的或

6、符合工业标准的协议,如TCP/IP、Ethern- et等。此易用、预定义的协议只需很少时间即可与现有的用户设计集成12。但也存在一些不完善的地方:aurora不具备地址机制,不能支持切换功能,没有规定数据有效载荷内校正。2. Aurora操作Aurora协议将复杂的RocketIO控制结构转化为简单的用户接口,而用户接口使用尽可能少的信号连接到用户的应用设计中,并提供一套LocalLink兼容的输入输出信号来接收和发送用户数据,其接口信号如图2所示。 图2 Aurora核的用户接口9在数据传输过程中,用户通过控制信号控制协议引擎完成如下操作:封装/解封装数据并使其在串行通道中传输;暂停数据传

7、送(插入空闲状态)。协议引擎中的发送帧将待传输的数据封装在通道协议数据单元(PDU)中。对我们使用的Aurora协议引擎来说,一个串行通道就由1个“弄”组成。这里,“弄”是设计中每个MGT的数据路径标识。插入空闲状态的目的是为了填充“弄”来完成字边界对齐。图2中的REM总线(data remainder bus)用来表示在PDU传送最后一个数据期间有效的字节数。实际的字节数等于REM总线的值加1。用户可以根据设计需要改变通道PDU的长度,它反过来也影响数据传输的效率。更长的PDU可以实现更高的传输效率,但同时也降低了传输的可靠性。用户可通过控制TX_ SOF_N和TX_EOF_N信号来调整PD

8、U长度。在传输过程中,用户可复位TX_SRC_RDY_N信号来产生数据停顿。在停顿期间,发送器发送空闲序列。重新置位TX_SRC_RDY_N,就可以恢复数据传输,用户可无限制地插入停顿。 接收帧的功能与发送帧相反,将数据从“弄”中提取出来。在接收过程中,协议引擎自动完成以下功能:检测和丢弃控制字节;置位PDU绑定信号;从“弄”中恢复数据;重新装配数据并送往RX_D0:31 总线。 3. Aurora的流控机制 Aurora支持可选的数据流量控制,以防止由于双方源端和宿端速率不同而造成的数据丢失。Aurora支持两种流控机制:故有流量控制(Native Flow Control)和用户流量控制(

9、User Flow Control)。 固有的流量控制:NFC操作有两个状态机控制:tx和rx。Rx状态机将空rx fifo的状态。当有溢出危险时,它会生成NFC pdu,要求对方在特定的时间内暂停传输用户pdu。Tx状态机通过在要求的时间内等待予以相应,从而使rx fifo从一处状态恢复。发送NFC要求的同时,tx状态机营销出任何往返延迟。理想状态下,NFC要求在接收fifo溢出前发出。您可以将NFC暂停设置为0到256,最大暂停为无限。NfC暂停止是非累积的,新的NFC会覆盖旧的值12。 用户流量控制:用户无需等待数据的结束,即可发送UFC信息。UFC信息与普通的数据共享数据通道,但有更高

10、的优先级。UFC消息由用户自定义和解析。4. Aurora的核参数5. 表1.核参数参数描述支持的值弄在通道中应用的GTP/GTX收发器的数量Virtex-5 设备GTP/GTX: 1 到 16Virtex-6 设备GTX: 1 到 16Spartan-6 设备GTP: 1,2, 4表1 核参数(续)参数描述支持的值弄宽度Virtxe-5 FPGA GTP收发器在核心中设置使用2字节构造数据。Virtex-5/Virtex-6 FPGA GTX 收发器和 Spartan-6 FPGA GTP 收发器设置使用2字节和4字节SERDESVirtex-5 设备GTP: 2字节GTX: 2/4字节Vi

11、rtex-6 设备GTX: 2/4 字节Spartan-6 设备GTP: 2/4 字节方向CORE Generator软件生成的通道的类型,可以是全双工的,单一的TX方向,单一的RX方向,或是在一个GTP/GTX收发器上设立两个单独的单一的模块(一个用于TX,一个用于RX)Full-DuplexSimplex-TXSimplex-RXSimplex-Both后通道核Aurora 8B/10B心有两种单一的后通道:Siderbands:通过对方发送的Siderbands设置单一TX状态转换Timer:不同于Siderbands,在初始化时通过内置的计时器完成单一TX状态转换SidebandsTi

12、mer表1核参数(续)参数描述支持的值流控制流控制的使能。有两种类型:自带流控制(NFC):NFC使全双工接收器可以控制输入数据的速率。完成模式,当帧完成时,NFC强制空值。立即模式,一旦流控制信息到达,NFC强制为空值。用户流控制(UFC):UFC使应用程序可以通过通道相互发送简短的高优先级的信息。NoneNFC ImmediateNFC CompletionUFCUFC 和 NFC ImmediateUFC 和NFC Completion接口用户可以指定一到两种接口:数据帧:用户数据帧接口是本地连接适应的。初始化后,数据帧可以通过Aurora通道发送。用户数据帧接口倾向于更大,因为有广泛的

13、包容字队列和无逻辑控制特征。数据流:用户数据流接口使得用户可以开启一个单独的无界限的数据帧。初始化完成后,用户通过一个简单的寄存器接口和一个空值信号向数据帧中输入数据。Framing (本地连接)Streaming表1核参数(续)参数描述支持的值线速率线速率规定了收发器工作时的速度。该参数与Aurora 8B/10B核心的性能有关。好的性能会有更高的线速率。详细介绍请参考LogiCORE IP Aurora 8B/10B v5.1 User GuideVirtex-5设备GTP 收发器:500 Mbps 到 3.75 GbpsGTX 收发器:50 Mbps 到6.5 GbpsVirtex-6

14、LXT/SXT 设备GTX 收发器:750 Mbps 到 6.5 GbpsVirtex-6 CXT 设备GTX 收发器:750 Mbps 到 3.75 GbpsVirtex-6 Lower Power 设备GTX 收发器:750 Mbps 到 5.0 GbpsSpartan-6 设备GTP 收发器:614 Mbps 到 3.125 Gbps表1 核参数(续)参数描述支持的值收发器参考时钟频率CORE Generator 软件根据参考参数值设置以下设备的参考时钟速率 Virtex-5, Virtex-6, and Spartan-6 FPGAs. 详细介绍请参考LogiCORE IP Auror

15、a 8B/10B v5.1 User Guide。根据选择的线速率和下列设备可用的时钟乘法器设置合适的速率: Virtex-5 FPGA GTP/GTX 收发器 Virtex-6 FPGA GTX 收发器 Spartan-6 FPGA GTP收发器 收发器参考时钟可以根据种种专用和非专用的时钟网络赋予GTP/GTX收发器一个参考时钟。如何为应用程序选择一个最佳的参考时钟网络,详细介绍请参考LogiCORE IP Aurora 8B/10B v5.1 User Guide 。 Virtex-5 设备:GTPD/GTXD clocksVirtex-6 设备:GTXQ clocksSpartan-6 设备:GTPD clocks表1 核参数(续)参数描述支持的值收发器放置CORE Generator软件提供了一个图形接口 使用户可以为特殊的 GTP/GTX 设计弄. 请参考The Virtex-5 FPGA RocketIO GTP Transceiver User Guide, Vir

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1