ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:22.16KB ,
资源ID:14380291      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14380291.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(浅析ccd驱动电路的相关理论及设计Word下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

浅析ccd驱动电路的相关理论及设计Word下载.docx

1、circuit; method;中图分类号: TN108.7 文献标识码:A 文章编号:2095-2104(2012)00-0000-00 CCD器件工作的主要过程是被测对象的光信息通过光学系统后,在CCD器件的光敏面上形成光学图像信息,CCD器件把这种光信息转换成与光强成比例的电荷,并用一定频率的时钟脉冲驱动进行移位传送,在CCD的输出端获得被测对象的视频信号。这是一模拟信号,且输出的时序对应着CCD光敏元位置的排列顺序,而信号的电压幅度对应着光敏元所接收到的光强的强弱,因而可以说,CCD输出的视频信号,包含着光强度信息和位置信息。对这一包含位置和光强信息的信号进行采集并转换成数字信息送入到

2、微处理器中进行处理。这一部分主要包括有CCD的驱动电路、输出视频信号的处理及采集电路等。本文笔者主要介绍的是CCD的驱动电路相关问题。一、线阵CCD时序脉冲电路产生的方法 对于不同的特性的CCD其驱动时序不尽相同,从已发表的研究成果看,设计CCD驱动时序的方法有以下几种:通用数字电路驱动方法、EPROM驱动方法、单片机驱动方法、专用IC驱动方法等。1.通用数字电路驱动方法 这种方法是用数字门电路和时序电路等直接搭成CCD时序驱动电路,通过硬件电路来实现对CCD的驱动。它一般由主振、计数器、分频器、触发器和驱动器等中等规模集成芯片构成。CCD芯片的早期产品,由于需要复杂的三相或四相交叠脉冲,一般

3、整个驱动电路需要20个左右芯片,存在体积较大、功耗大、成本高、设计复杂、开发周期长、故障率高、电路不够灵活等缺点,因此它不能得到广泛的应用。2.EPROM驱动方法 在CCD的一个积分周期中含有多个(一般以千计)移位时钟。在一个移位时钟中各路信号在不同的时刻发生变化,设计者将移位时钟周期划分成若干个等时间间隔,称为状态,时钟波形电平变化发生在一定状态变化时刻,这样一行就被分为上万个状态,各路信号或1或0,构成一个状态数据,依次装到可擦除可编程只读存储器EPROM中,只要等时间间隔地依次输出这些数据就形成了CCD所需要的各路波形。这种驱动电路一般由EPROM、地址发生器(异步计数器)、地址信号同步

4、电路(锁存器)和强制复位电路组成。显然这种电路的硬件依然比较复杂,同样具有体积大、功耗大、成本高、设计复杂等缺点。但是,这种方法的最大优点是对任何型号的CCD来说,其硬件结构几乎不需要变化,故应用比较灵活。3.单片机驱动方法 由于绝大多数CCD应用系统都含有单片机,这使有关CCD应用系统开发者十分自然地考虑到使用单片机的并行输出端输出所需的驱动脉冲信号,再经驱动芯片接到CCD对应管脚上。这一方案与EPROM方案有些相似,EPROM方案每改变地址就输出新的状态数据,而此方案是用单片机的输出指令改变输出数据。单片机产生的CCD驱动时序的方法主要是依靠程序编程直接输出驱动时序信号。由于时序是由程序指

5、令间的延迟产生,因此这种方法在驱动过程中要占用全部CPU时间,而且为了时序的严密一般在驱动过程中都禁止中断响应。这种驱动时序产生方法的特点是调节时序灵活、编程简单,但由于受到单片机的时钟频率的限制,产生的频率受到很大限制使其不能应用于高速领域。例如假定使用单片机机器周期为1s,且假定一个移位周期含8个状态,那么即使是全部采用单机器周期改变口输出数据,移位脉冲频率也只能达到125KHz。这种方法对于CCD的一些简单应用系统可能是适合的。但是,在复杂些的应用系统中采用单片机来形成驱动波形不堪重负,其执行的指令大部分是用于产生这些驱动波形,只在两行间过渡段对各路输出冻结一段时间,才能分时对CCD视频

6、输出进行处理等工作。4.专用IC驱动方法 这种方法就是利用专用IC来产生时序。由于这种电路是专门为驱动CCD而设计,所以集成度高、功能强、使用方便。在对摄像等视频领域应用的CCD、或是功能强大的面阵CCD进行驱动时,使用这种驱动方法是首选。大多数CCD生产厂家也都有生产相应的专用驱动IC,在应用中利用这些专用驱动IC构成标准的CCD驱动电路通常都会获得满意的结果。然而,对于一些特定的应用场合,如用于工业测量时这种方法又显得过于保守,灵活性不好。以往采用的大多是由普通数字芯片设计的驱动电路,为了克服上述几种方法的缺点,本课题应用了FPGA技术来完成驱动时序电路设计,它具有以上方法无法比拟的优越性

7、。二、 FPGA技术的特点 1. 现场可编程门阵列(FPGA)概述 FPGA是英文FieldProgrammable Gate Array的缩写,即现场可编程门阵列24,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连

8、线(Interconnect)三个部分。FPGA的基本特点主要有:1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。2)FPGA可做其它全定制或半定制ASIC电路的中试样片。3)FPGA内部有丰富的触发器和IO引脚。4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编

9、程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。2.Quartus II的设计流程 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Desc

10、ription Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。此外,Quartus II

11、通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。如图1.1所示为Quartus II软件一般的设计流程。图1.1开发流程图 三、 TCD1206的时序脉冲驱动电路设计 1.驱动电路的设计原则 首先通过查阅器件手册,根据数据速率的要求确定CCD像元信号的输出速率öDC频率值,由它可以得到每个像元信号的读出周期TDC=1/&DC。&DC是像元信号输出控制脉冲,复位一次,输出一个信号。积分控制脉冲SH的一个周

12、期包含有CCD器件上所有光敏元区n1、两端设置的黑白电平参考区n2、隔离区n3和过渡区n4的所有MOS单元转移所需要的时钟周期。则积分控制脉冲一次扫描中共包含有n个时钟周期。n=n1+n2+n3+n4 (1.1) 由此可以算出每次光积分所需要的最短时间为 TSH=nTDC (1.2) CCD光积分控制脉冲?SH的最高频率为 SH=1/TSH=&DC/n (1.3) SH是一个正极性脉冲,在光积分控制脉冲中SH=“1”的时间为信号电荷的并行转移时间,SH =“0”的时间为光积分时间。光积分时间应远大于转移时间,才能使栅极下的势阱中储存足够多的电荷信息。从器件手册上查出选定的CCD是单沟道线型结构

13、还是双沟道线型结构。对于单沟道结构,&T的频率就等于&DC,对于双沟道线性结构,对&DC进行二分频就得到&T的频率。对于CCD是采用二相、三相或四相等不同相数驱动脉冲工作,针对具体器件做其转移脉冲的设计。在确定了&DC、 SH 、&T的频率和特征之后,就可以根据它们之间的时序关系设计出硬件逻辑框图。2.TCD1206工作参数的设计 本系统选用TOSHIBA公司的TCD1206 型电路作为传感器。该器件具有优良的光电特性, 有2160 个像元, 其驱动信号的时序如图1.2所示。图1.2 TCD1206驱动脉冲时序 由TCD1206 的时序图可以看出, TCD1206 采用二相驱动脉冲工作, 时序

14、脉冲驱动电路提供4 路工作脉冲, 即光积分脉冲SH, 电荷转移脉冲1、2, 输出复位脉冲RS。系统提供的主时钟频率CLK 为50 MHz, 设定数据输出频率为1 MHz。TCD1206 的典型最佳工作频率为1 MHz, 该器件具有2160 位有效像元, 正常工作时要有52 个虚设单元输出(DUMMY OUTPUTS) 信号 (含暗电流信号)。因为该器件是二列并行传输, 所以在一个周期内至少要有1106 ( 2212/2=1106) 个1 脉冲, 即TSH1106T1。另外, 由时序图可以看出, 当SH 信号为高电平期间, CCD 积累的信号电荷包通过转移栅进入移位寄存器, 移位脉冲1、2 要求保持一个高和低的电平状态。由于该器件是两相驱动方式可以确定两路电荷转移脉冲1和2它们的频率均是0.5MHz相位相差180o在确定了SH、1、2和RS的参数之后可以根据它们之间的时序关系设计出硬件逻辑框图如图1.4所示各路脉冲的技术指标如下:RS=1MHz占空比为1:3,方波;1=2=0.5MHz占空比为1:1,方波1、2在并行转移时有一个大于SH=1持续时间的宽脉冲。3. TCD1206脉冲产生电路设计 (1

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1