ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:470.65KB ,
资源ID:14377613      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14377613.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(MIPS处理器设计说明要点Word格式文档下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

MIPS处理器设计说明要点Word格式文档下载.docx

1、oprsrtrdshamefuncadd000000sj1Sj2jgxx100000Jg=sj1+sj2addu100001sub100010Jg=sj1-sj2subuSj1100011or000010Jg=sj1|sj2and000011Jg=sj1&sj2sla移位数000100Jgsjlrsr(2)I型指令:Immediateaddi001000ImmSj2= sj1+immaddiu001001andi001100Sj2= sj1&immori001101LwbaseoffsetoffsetMemorybase+immsw101011Memorybase+immoffsetslti0

2、01010sj1=Sj2sltu001011Sj1=sj2(3)J型指令:BeqIf sj1=sj2 then branchBnq000101If sj1sj2 then branch3.2总体结构设计: 该MIPS主要由8个模块组成,各个子模块分别设计其特定的功能,最终利用一个总的模块进行子模块间连接,使得整个CPU能连贯执行指令,在仿真结果中观察设计结果,最终进行硬件下载,验证设计。其中各个模块简单功能如下: (1)存储器模块:具备基本的读写功能,用于存放数据和指令。(2)寄存器堆模块:由32个32位的寄存器组成,提供较大的存储空间,用于存放暂存数据和指令。(3)算术逻辑运算器模块:执行加

3、减法等算术运算,与非或等逻辑运算,以及比较移位传送等操作的功能部件,是该CPU的设计核心部分,存在不同的运算处理功能,是体现实验设计结果正确性的模块。(4)立即数扩展模块:执行I型指令时需要立即数扩展,该模块用于MIPS符号扩展,将16位数据扩展为32位数据。(5)主控制模块:用于控制各个模块之间的分工运行,产生不同数据通路的控制信号,保证指令顺序执行不发生紊乱。(6)ALU控制模块:用于生成ALU执行各种功能的控制信号,使ALU内部运行不发生紊乱。(7)分支跳转指令控制模块:用于生成分支和跳转指令的控制信号。(8)取指模块:进行指令的取出及译码,同时包括程序计数器PC运行设计。各模块间关系如

4、下:3.3接口定义和接口时序说明:该CPU由cpu_clk和进行总的控制,并且输出程序计数器低4位进行简易流水灯显示,CPU运行的结果包括逻辑运算等在仿真界面中进行分析和设计验证。cpu_clk上升沿有效rst位低电平时复位有效4 子模块详细设计4.1 存储器模块设计 4.1.1模块方案设计指令存储器用于存放CPU运算的程序指令和数据等,采用单端口存储器设计,设计最大为64个存储单元,每个存储单元数据宽度为32bit。 4.1.2 接口定义 序号接口信号名称方向(I/O)说明1 clkI存储器工作时钟,频率为50Mhz2 rst存储器片选信号,低有效3 ExtMem_Adr 5:0存储器地址线

5、4 ExtMem_WR存储器读写信号,1为写反之读5 ExtMem_Din 31:存储器输入数据线6 ExtMem32 31:O存储器输出数据线 4.1.3 模块仿真验证4.2 寄存器堆模块设计 4.2.1模块方案设计该MIPS指令格式中的寄存器号是5bits,指令可以访问32个32位的寄存器。这32个32位的寄存器构成一个寄存器堆。 4.2.2 接口定义方向处理器工作时钟复位信号Raddr14:读寄存器堆时的第1个寄存器下标Raddr24:读寄存器堆时的第2个寄存器下标Waddr4:写寄存器堆时的寄存器下标We寄存器堆写使能7 Wdata 31:待写入寄存器堆的数据8 Rdata 131:读

6、寄存器堆时第1个寄存器的输出9 Rdata 231:读寄存器堆时第2个寄存器的输出 4.2.3 模块仿真验证4.3算术逻辑运算器模块设计 4.3.1 模块方案设计 运用alu_clt控制运算器的各种运算,包括无符号数的加法运算,有符号数的加法运算,或逻辑运算,与逻辑运算,无符号数的减法运算,无符号小于置1运算,逻辑左移,逻辑右移,算术右移等。 4.3.2 接口定义1ALU_DA 31:参与运算的第一个输入数据2ALU_DB 31:参与运算的第二个输入数据3alu_clt 3:运算功能编码4alu_shift 4:偏移量5ALU_Zero零标志位6Alu_Overflow溢出标志位7ALU_Do

7、ut 31:运算结果输出位 4.3.3 关键控制信号的产生SUBctr = alu_clt2;ANDctr = alu_clt0;OVctr = !alu_clt1&alu_clt0;SIGctr = alu_clt0;OPctr1 = alu_clt2&alu_clt1|alu_clt3;OPctr0 = alu_clt1;4.3.4具体ALU实现如下图所示: 4.3.5 模块仿真验证 4.4 立即数扩展模块设计 4.4.1 模块方案设计设计一个32位MIPS符号扩展单元SE,用于将16位的数据转换为32位数据。 4.4.2 接口定义信号名端口说明描述Imm1615:来自指令寄存器的16位立

8、即数AluSrc立即数扩展信号的使能端bus31:ExtImm3231:符号扩展后的32位立即数 4.4.3 模块仿真验证 4.5 主控制模块设计 4.5.1 模块方案设计以指令译码结果中的6位操作码及相关信号产生整个数据通路中的各个控制信号。 4.5.2 接口定义操作码RegDst目的寄存器选择ALU输入信号选择ExtOp立即数扩展的使能信号RegWr寄存器写使能MemWr,存储器写使能MemtoReg寄存器的装载信号选择 4.5.3各控制信号的编码规则如下表所示:000001100101011010MemWr 4.5.4 模块仿真验证4.6 ALU控制模块设计 4.6.1 模块方案设计 通过译码结果中的高6位以及相关信号编码出数据通路中各个控制信号。 4.6.2 接口定义端口名称输入输出复位信号(高电平1有效)cpu_clk时钟(上升沿有效)func5:功能区分Alu_ctrl3:Alu功能信号 4.6.3 执行R型指令func对应的Alu_ctrl编码如下表所示:Alu_ctrl000100000101010010

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1