ImageVerifierCode 换一换
格式:DOCX , 页数:24 ,大小:463.75KB ,
资源ID:14332705      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14332705.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA实验报告12份Word格式.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA实验报告12份Word格式.docx

1、5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y),完成2路选择输出。实验二 时序电路的设计熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。entity suocun7 isport(clk: in std_logic; en: D: in std_logic_vector(7 downto 0); B:out std_logic_vector(7 downto 0);end suocun7;architecture one

2、 of suocun7 issignal K: std_logic_vector(7 downto 0); process(clk,en,D) begin if clkevent and clk=1 then if en =then K=D; end if; end process; B=K; end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形5 实验总结:此程序完成的是一个8位锁存器,当时钟上升沿到来(clk)、使能端为低电平(en =)时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。实验三 异步清零和同步时钟使能的加法计数器的设计学习计数器的设计、仿真

3、和硬件测实,进一步熟悉VHDL设计技术。设计一个6位加法计数器,并进行仿真测试,给出仿真波形。entity cnt6 isport (clk,enb,clr:in bit; q: buffer integer range 63 downto 0; cout: out bit );end cnt6;architecture one of cnt6 is process(clk,clr,enb) if clr= then q=0; elsif clk if enb= if q=63 then qcout=; else q q null; end case;4. 仿真波形(如图6-1所示)图6-1

4、7段数码显示译码器仿真波形输出信号q的7位分别接数码管的7个段g、f、e、d、c、b、a,高位在左,低位在右。例如q输出为“1101101”时,数码管的7个段分别接1、1、0、1、1、0、1,接有高电平的段发亮,数码管显示“5”。实验七 数控分频器的设计学习数控分频器的设计、分析和测试方法。设计一个数控分频器,并进行仿真测试,给出仿真波形。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY PULSE IS PORT ( CLK: IN STD_LOGIC; D : IN STD_LOG

5、IC_VECTOR(7 DOWNTO 0); FOUT : OUT STD_LOGIC );END;ARCHITECTURE one OF PULSE IS SIGNAL FULL : STD_LOGIC;BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLKEVENT AND CLK = THEN IF CNT8 = 11111111 THEN CNT8 := D; FULL = ELSE CNT8 := CNT8 + 1; END IF; END PROCESS P_REG ;

6、 P_DIV: PROCESS(FULL) VARIABLE CNT2 : BEGIN IF FULLEVENT AND FULL = THEN CNT2 := NOT CNT2; IF CNT2 = THEN FOUT ELSE FOUT END IF; END PROCESS P_DIV ;4. 仿真波形(如图7-1所示)图7-1 数控分频器仿真波形数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,本设计中的数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。实验八 正弦波发生器的设计进一步熟悉Max+plusII及其LPM_ROM与FPGA硬件资源的使用方法。设计一个正弦波发生器,并进行仿真测试,给出仿真波形。3. 实验原理(如图8-1所示)图8-1 正弦波发生器原理图LPM_ROM中的波形数据文件(.mif文件):width=8;depth=64;address_radix=hex;data_radix=hex;content begin 0:ff; 1:fe; 2:fc; 3:f9; 4:f5; 5:f0; 6:ea; 7:e3; 8:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1