ImageVerifierCode 换一换
格式:DOCX , 页数:46 ,大小:359.98KB ,
资源ID:14226201      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14226201.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA和MCU的相位测量仪的设计毕业设计 精品Word格式文档下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA和MCU的相位测量仪的设计毕业设计 精品Word格式文档下载.docx

1、 电气工程及自动化学院 专 业: 电气工程及其自动化 XX大学教务处印制摘 要随着社会和历史的不断进步,相位测量技术广泛应用于国防、科研、生产等各个领域,对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,数字式相位测量仪因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。本文首先论述了相位测量技术的国内外发展概况,并根据现状设计了此相位测量系统。该设计包括系统设计的理论分析,系统结构设计及硬件实现,最终验证了该测量系统的可行性和有效性。该设计采用单片机与FPGA相结合的电路实现方案,很好地发挥了FPGA的运算速度快、资源丰富、编程方便的特点,并利用了单片机的

2、较强运算、控制功能,使得整个系统模块化、硬件电路简单、使用操作方便。文章主要介绍设计方案的论证、系统硬件和软件的设计,给出了详细的系统硬件电路图和系统软件主程序流程图。关键词: 数字式相位测量仪 单片机 FPGA 设计方案AbstractAlong with the social and historical progress, phase measurement technology is widely used in national defense, scientific research, production and other fields, on the phase measur

3、ement requirements are also gradually to high precision, high intelligent direction, in the range of low frequency digital phase measurement instrument, because of its high precision measurement resolution and highly intelligent, intuitive characteristics have been more and more widely applied. This

4、 text first discusses the phase measuring technology development in domestic and international, and according to the present situation designs the phase measuring system. The design includes system design theory analysis, system structure design and hardware realization, finally verified the feasibi

5、lity and validity of the system. The combination of MCU and FPGA is adopted in the design .It has the features of FPGA high operating speed, abundant resources and convenient programming. And the use of MCUs strong operation and control function, which makes the whole system modularized, the hardwar

6、e circuit is simple and the operation is convenient. The paper mainly introduces the designs of the demonstration, hardware and software, the hardware circuits and main software program are given in detail.Keywords: Digital phase measuring instrument MCU FPGA Design strategy1 绪 论 1.1 课题背景及研究意义随着社会和历

7、史的不断进步,科学技术突飞猛进的发展,电子技术广泛应用于工业、交通、国防、科研、生产等各个领域,而相位测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。对相位测量的要求也逐步向高精度、高智能化方向发展。在低频范围内,相位测量在电力、机械等部门有着尤其重要的意义,对于低频相位的测量,用传统的模拟指针式仪表显然不能够满足所需的精度要求,随着电子技术以及微机技术的发展,数字式仪表因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。相位差是工业测控领域经常需要测量的参数,如电力系统中功率因数的测量、铁路系统中相敏轨道电路相位差的测量以及科氏质

8、量流量计中的相位差测量等等。而相位差的测量又不同于传统的电压、电流信号或物位、温度量的测量。首先,相位差信号依附于电压、电流信号中,如何剔除电压、电流、频率变化对相位差测量的影响是相位差测量中很重要的一个方面;其次相位差是一个比较量,测量两路信号之间的相位差不仅需要保证两路信号的频率相同,而且要排除由于两路信号的幅值等其它因素不一致而对测量造成的影响。因此,如何准确可靠地测量相位差是值得研究的课题1。相位测量仪的用途极为广泛,可以测量两电压、两电流及电压电流之间的相位,是电力部门、工厂和矿山、石油化工、冶金系统正确把握电力使用情况的理想仪表。相位测量仪可应用于变压器件生产厂,收录机,电视机,整

9、机生产厂或有关科研单位,作为产品验收,检验,样品分析的测试仪器,是提高产品质量和工作效率的最佳辅助工具。1.2 国内外发展动态 经过几十年,特别是近十几年的建设与发展,我国仪器仪表行业已经初步形成产品门类品种比较齐全,具有一定生产规模和开发能力的产业体系,成为亚洲除日本以外第二大仪器仪表生产国。而数字化测量技术则已经成为数字化制造技术的一个不可或缺的关键组成部分,采用适度先进的信息化数字测量技术和产品来迅速提升我国装备制造业水平,是当前一个重要的发展方向。 传统的测量方法很多,有示波器测量法,可变延迟法,基于数据采集板的相位测量新方法,将相位差转化为时间间隔法,电压测量法,示零法等。 通常的测

10、量方法是对两路输入信号进行处理,应用过零检测的方法使其变换成两个方波,然后对这两个方波进行比较得到鉴相脉冲,即相位差脉宽。再由鉴相脉冲来控制计数器的关停,即用高频时钟脉冲去填充两个信号的相位差,从而实现相位差的测量。 相位的数字测量方法基本分为硬件电路测量和A/D采样后利用软件计算两种。硬件法测量由于电路结构比较复杂、易受外界干扰影响以及准确度较差的缺点,限制了它的进一步发展。近年来,随着计算机软硬件及其外围设备的日益发展,以数字信号处理为核心的软件法测量技术在相位差的测量中得到了越来越多的关注,并取得了较快的发展。 FPGA是20世纪90年代发展起来的大规模可编程逻辑器件,随着EDA(电子设

11、计自动化)技术和微电子技术的进步,FPGA在超高速、实时测控方面有非常广阔的应用前景;并且FPGA具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,从而大大缩小了电路的体积2。目前,单片机的主流仍然是8位高性能单片机。其发展具体体现在CPU功能的增强,内部资源的增多,引脚的多功能化、低电压、低功耗等方面。单片机的发展是为了满足不断增长的自动检测、控制的要求,具体体现在传感器的接口、各种工业对象的电气接口、功率驱动接口、人机接口、通信网络接口等。这些接口性能的发展体现在高速的I/O能力、程序运行监控能力、信号实时处理能力等。总之,单片机将向高性能、高可靠性、低电压、低功耗、低噪音、低

12、成本的方向发展1。现在采用单片机与FPGA相结合的电路实现方案,很好地发挥了FPGA运算速度快、资源丰富、编程方便的特点,并利用了单片机较强的运算、控制功能,使得整个系统模块化、硬件电路简单、使用操作方便。而且可以很好地完成该设计所要求的各项指标。1.3 课题设计任务设计一个低频数字式相位测量仪,要具有频率测量和数字显示功能,并且要求能提高测量、显示精度和系统稳定性,使显示结果更加精确。其设计示意图如图1-1所示。 图1-1 相位测量仪示意图该设计要满足的要求有:频率范围:20HZ20KHZ、相位测量仪的输入阻抗100、允许两路输入正弦信号峰-峰值可分别在1V5V范围内变化、相位测量绝对误差2

13、、具有频率测量及数字显示功能、相位差数字显示:相位读数为0359.9,分辨率为0.1。2 设计方案论证从功能角度来看,相位测量仪要完成信号频率的测量和相位差的测量。相位测量仪有两路输入信号,也就是被测信号,它们是两个同频率的正弦信号,频率范围为20HZ20KHZ(正好是音频范围),而这两个被测信号的幅度分别为Up-p=1V5V(可以扩展到0.3V5V),但两者幅度不一定相等。不妨令两个同频率的正弦信号为,则相位差,由此可以看出,相位差在数值上等于初相位之差,是一个角度。令,式中是相位差对应的时间差,且令为信号周期,则有360,由此可以看出,相位差与有一一对应的关系,我们可以通过测量时间差及信号

14、周期而计算出相位差,这就是相位差测量的基本原理。因此,相位差的测量本质上就是时间的测量,而时间的测量就要用到电子计数器5。时间的测量方法有很多种,而本设计关于相位测量仪的技术指标要求会影响到我们对方案的选择。我们知道,MCU应用系统一般能较好地实现各种不同的测量和控制功能,但有的时候却达不到设计要求的技术指标。而FPGA具有集成度高,I/O资源丰富,稳定可靠,工作速度快,可现场在线编程等优点,往往能满足一些设计要求比较高的技术指标。因此,人们在进行电子系统设计的时候,用MCU实现系统功能,FPGA完成系统指标。2.1 以MCU为核心的实现方案 以单片机为核心的相位测量仪的原理框图如图2-1所示

15、。图2-1 以MCU为核心的相位测量仪的原理框图两路待测信号经电路整形后变成了矩形波信号、,而且和是同频率但不同相位的矩形波。MCU对信号频率的测量可以采用直接测量频率法和测量周期法。当信号频率较高时,我们一般采用直接测量频率的方法,而信号频率较低时,则采用测量周期的方法。(1) 直接测频率的方法测信号频率用定时器/计数器对外部事件计数,并让定时器/计数器定时1s,只有在这1s内启动对外部事件(即信号)计数,的计数值就是待测信号的频率。(2) 测周期的方法测量信号频率对信号进行二分频,分频后高电平的宽度正好对应信号的周期,我们将此高电平信号作为MCU内部定时器的硬件启动/停止信号,便可测得周期,再由公式,计算得到频率。在对相位差进行测量时,我们采用的是测量信号、相位差所对应的时间差,再根据公式 (2-1)通过计算求出相位差。MCS-51系列单片机芯片内部集成了两个16位的硬件定时器/计数器,他们是、,均是二进制加法计数器,当计数器计满回零时能自动产生溢出中断请求,表示定时时间已到或计数已终止。MCU芯片内部的硬件定时器/计数器有3个特点:定时器/计数器可以与CPU并行工作;定时器/计数器可以采用中断方式与系统协调工作;定时器/计数器可以由软件或硬件控制启动或停止。单片机的定时器

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1