ImageVerifierCode 换一换
格式:DOC , 页数:16 ,大小:157.97KB ,
资源ID:14174739      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14174739.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL语言与EDA课程设计Word文档下载推荐.doc)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VHDL语言与EDA课程设计Word文档下载推荐.doc

1、项 目权重成绩1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总 成 绩 教研室审核意见:教研室主任签字:教学系审核意见: 主任签字: 年 月 日摘 要随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。根据预定的设计要求和设计思路,我们使用VHDL硬件描述语言设计了一个实际

2、的基于Altera FPGA芯片的出租车自动计价器系统,介绍了该系统的电路结构和程序设计。通过在QuartusII6.0软件中编译和下载测试,得到了仿真波形和关键的设计结果。经过在实验箱上进行硬件测试,证明该出租车计价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。关键词:出租车自动计价器 ;VHDL; FPGA ;QuartusII6.0目 录 设计要求11、方案论证与对比11.1方案一11.2方案二21.3两种方案的对比22、实验步骤和设计过程22.1计程模块22.2等待计时模块22.3计费模块33、调试与操作说明33.1QuartusII中的VHDL程序33.2

3、程序的编译与及仿真波形63.3程序的下载与功能的测试74、课程设计心得体会95、元器件及仪器设备明细106、参考文献117、致谢12出租车自动计价器设计设计要求设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元,行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)。设计的主要技术指标如下:1)计价范围: 099.99元 计价分辨率: 0.01元2)计程范围:099公里 计程分辨率: 1公里3)计时范围:059分 计时分辨率: 1分1、方案论证与对比 根据本课程设计要求,需要对出租车当行驶公里数和等待时间

4、两种情况对应进行计数计费,同时要求显示出对应行驶公里数、等待时间和出租车费用,我们想到了以下两种方案。1.1方案一 用分频器将外部时钟分为三路频率的信号,用来计时和计程,同时也供整个系统工作,通过设置使能信号,使系统自动对等待时间计时和对行驶公里数的计数,最后将所得结果分别在数码管上显示出来。数码管显示等待时间脉冲公里脉冲计费/复位FPGA控制器计程计时计费图图 1 方案一设计结构图1.2方案二各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租汽车启动、停止按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成十进制数据;译码动态扫描模块将路

5、程与费用的数值译码后用动态扫描的方式驱动数码管5;数码管显示模块将公里数和计费金额显示出来。信号输入模块片选信号产生模块数据转换模块数码管控制模块动态扫描/译码/数码管显示模块图2 方案二设计结构图1.3两种方案的对比经分析,两种方案都要通过模块组合来实现出租车计价器的功能。方案一模块数量比方案二多一些,但思路简单,各模块程序也相对简单,因此较容易实现,适合我们初学EDA的编程设计。方案二模块较少,但单个模块功能要求较高,程序复杂。所以,我们选择方案一。2、实验步骤和设计过程2.1计程模块计程模块:根据提供的里程脉冲信号fin,计算乘客上车后出租车所行驶的里程数。fin每来一个上升沿,计程模块

6、实现一次计数,里程数就加1。当行驶里程大于3Km时,本模块中en0信号变为1;进行行驶公里都计费。2.2等待计时模块等待计时模块:根据分频得到的时钟信号f_1,计算乘客的等待累计时间。计时器的量程为59min,满量程归零。等待时间大于3min时,本模块中en1信号变为1;f_1每来一个上升沿,计量模块实现一次计数,等待时间加1。2.3计费模块计费模块:实现计价、计时和计程的数据计费。计量模块实现对于出租车在行驶和等待过程中的里程数和计时功能:计费时钟每来一个上升沿,计量模块实现一次计数,里程数或者等待时间加1。进行的是行驶公里数大于3公里以每公里1.6元计费的操作;当等待时间大于2min时,本

7、模块中en1信号变为1,进行的是等待时间大于2分钟以每分钟1.6元计费的操作;最后用cha3,cha2,cha1,cha0来组成的4位数显示总费用,最大显示为999.9元。3、调试与操作说明3.1QuartusII中的VHDL程序根据设计要求我们写出了VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity taxi is -定义实体3port ( clk_256 :in std_logic;-频率为256Hz的时钟 st

8、art : -计价使能信号 stop: -等待信号 fin: -公里脉冲信号 cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); -费用数据 km1,km0: -公里数据 min1,min0: out std_logic_vector(3 downto 0); -等待时间end taxi;architecture behav of taxi issignal f_16,f16,f_1:std_logic;signal q_16:integer range 0 to 15; -分频器signal q16: -分频器signal q_1:in

9、teger range 0 to 255; -分频器signal w:integer range 0 to 59; -秒计数器 signal c3,c2,c1,c0:std_logic_vector(3 downto 0); -制费用计数器signal k1,k0:-公里计数器signal m1:std_logic_vector(2 downto 0);-分的十位计数器 signal m0:-分的个位计数器signal en1,en0,f: -使能信号 beginfenpin:process(clk_256,start) -分频器模块 if clk_256event and clk_256=1

10、 then if start=0 then q_16=0;q16f_16=;f16f_1f else if q_16=15 then q_16 -此if语句得到频率为16Hz的信号 else q_16=q_16+1; end if; if q16=15 then q16 -此if语句得到另一个频率为16Hz的信号 else q16=q16+1; if q_1=255 then q_1-此if语句得到频率为1Hz的信号 else q_1=q_1+1; if en1= then f=f_16; -此if语句得到计费脉冲f elsif en0=f16; else fend process;process(f_1)

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1