ImageVerifierCode 换一换
格式:DOCX , 页数:30 ,大小:1.75MB ,
资源ID:14128358      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/14128358.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(modelsim实验教程Word文档下载推荐.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

modelsim实验教程Word文档下载推荐.docx

1、SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。 Modelsim在IC设计中有重要作用,根据客户提出的要求定义功能后,完成代码的编写,并用

2、modelsim进行前仿真。前仿真通过后,对设计进行布局布线等处理,再用modelsim对设计进行后仿真。如图1所示:图1Modelsim的仿真流程如图2所示,设计规格制定好之后,进行代码编写,然后对设计进行功能仿真、代码覆盖率仿真等,查看是否有不符合设计要求的地方,如果有则进行修改,继续用modelsim进行各个步骤的仿真,直到符合要求时,查看覆盖率,如果覆盖率也符合要求则结束仿真,否则修改源代码或者testbench继续仿真。图2实验一:前仿真1.实验目的通过实验掌握如何用modelsim进行简单的功能仿真。2.实验内容编译代码,进行功能仿真。3.实验原理(1)什么是前仿真前仿真也称功能仿

3、真,主旨在于验证电路功能是否符合设计要求,其特点是不考虑门延迟与线路延迟,主要是验证电路与理想情况是否一致。(2)前仿真原理当对要仿真的目标文件进行仿真时,需要给文件中的各个输入变量提供激励源,并对输入波形进行严格的定义,这种对激励定义的文件就称为testbench,即测试文件。Testbench ,顾名思义就是测试平台的意思。简单来说,在仿真的时候 Testbench 用来产生测试激励给待验证设计 CDUV) ,或者称为待测设计 CDUT) ,同时检查 DUV 的输出是否与预期的一致,达到验证设计功能的目的,如图 3 所示。图3Testbench 概念的提出为我们提供了一一个很好的验证芯片的

4、平台。仿真因 EDA 工具和设计复杂度的不同而略有不同,对于简单的设计,特别是一些小规模的 CPLD 设计来说, 一般可以直接使用开发工具内嵌的仿真波形工具绘制激励,然后进行功能仿真。另外种较为常用的方式是,使用 HDL (硬件描述语言)编制 Testbench C 仿真文件),通过波形或自动比较工具,分析设计的正确性,并分析 Testbench 自身的覆盖率和正确性。基于 Testbench 的仿真流程如图 4所示。图4图4基于 Testbench 的仿真流荐从图中可以清晰地看出 Testbench 的主要功能:(1)为 DUT (Design Under Test ,待测试设计)提供激励信

5、号:(2)正确实例化 DUT;(3)将仿真数据显示在终端或者存为文件,也可以显示在波形窗口中以供分析检查;(4)复杂设计可以使用 EDA 工具,或者通过用户接口自动比较仿真结果与理想值,实现结果的自动检查。前两点功能主要和 Testbench 的编写方法或 Coding Style 相关,后两点功能主要和仿真工具的功能特性及支持的用户接口相关。如何编写规范、高效、合理的测试激励是本章所要论述的重点问题。另外个Testbench 设计好以后,可以为芯片设计的各个阶段服务。比如在对 RTL 代码、综合同表和布线之后的网表进行仿真的时候,都可以采用同一个 Testbench 。4.实验步骤 第一步:

6、打开modelsim软件并建立工程 登陆工作站后,进入命令界面,输入命令vsim,按回车键,打开modelsim软件,如图5所示图5选择File选项,点击File-new-project,如图6所示:图6然后弹出如图7所示界面,需要给所建立的工程起名,为了方便管理,工程名可以与顶层模块名字相一致。Project Location 一栏表示的是工程所在目录,work代表工作库,里面包含所有编译过的文件。图7输入工程名,并确定了工程所在位置后,点OK。第二步:加入源文件并编译建立好工程后,会弹出图8所示窗口,可以选择Create New File来在modelsim中直接编辑代码文件,也可以选择A

7、dd Existing File加入已有的源文件。图8也可以通过选择File-source来编辑源文件,如图9所示:图9加入源文件后,可以鼠标选择源文件,点击右键Compile-Compile Selected来编译源文件。如图10所示:图10也可以点击直接编译源文件。如图11所示:图11选择,可以编译工程里面所有的源文件。如图12所示:图12在Transcript窗口中可以查看编译结果。如图13所示:图13编译的目的是查看编写的源代码是否有语法错误。编译只能检查语法错误,不能检查功能错误。如果编译出来有错误,可以双击错误提示,改正错误,再编译。如图14所示:图14第三步:仿真编译成功证明源代

8、码没有语法错误,启动仿真器对源文件进行仿真。选择Simulate-Start Simulation或者点击按钮,会弹出一个选择框,将Enable Optimization 选项勾掉,这是仿真优化选项,会对时钟等进行优化,在功能仿真阶段不需要优化时钟,在后续布局布线中会对其进行优化。同时要选择仿真的测试文件在work工作库下,选择测试文件,点击OK。选择后的选择框如图15所示:图15 在弹出的instance窗口中,选择测试文件点击右键,选择Add-To Wave-All items in region将信号加到波形图中,如图16所示:图16加入信号后,点击按钮进行仿真,点击按钮可以停止仿真,查

9、看波形图。如图17所示:图175.实验结果图18可以通过点击按钮将波形图解锁出来,到全屏状态,如图19所示图19也可以点击菜单栏的按钮和,将波形放大或者缩小,如图20所示图206.问题(1)仿真时Enable Optimization选项是什么意思?为什么不勾选这个选项?(2)Testbench 是什么意思?在仿真中有什么作用?一个完善的Testbench包括哪些?实验二:代码覆盖率通过实验,掌握如何用modelsim进行代码覆盖率的仿真。用modelsim进行代码覆盖率检查及分析,并输出覆盖率报告。4.实验原理 (1)什么是代码覆盖率代码覆盖率是验证激励是否完备,检验代码质量的一个重要手段。

10、测试激励的代码覆盖率至少要达到95%以上,才能基本认为代码在逻辑上是通过质量控制的。如果代码覆盖率较低,则说明仿真没有执行到所有的代码或者测试文件有缺陷。 (2)使用代码覆盖率的目的代码覆盖率是保证高质量代码的必要条件。它能有效地衡量测试文件的质量,是仿真效率的一个重要标准。 (3)如何提高代码覆盖率 代码行覆盖和分值覆盖即使达到100%,也不能肯定的说代码已经得到100%的验证。除非所有的分值覆盖都能进行组合遍历。在一个大的设计中,只通过一个激励就验证完一个设计或者模块是不现实的。一方面是从逻辑功能上难以做到。另一方面是因为如果在一个激励中包括了各种情况,整个仿真过程的速度会非常慢。所以,一

11、般做法是每个激励只验证电路功能的某个方面,整个电路功能的验证由数个激励完成。在验证过程中,如果用互斥机制去验证,则能够大大提高代码的覆盖率。 (4)modelsim中的代码覆盖率Modelsim的代码覆盖率不但能记录各个激励对代码的行覆盖和分值覆盖,而且能够将各个激励的覆盖记录进行合并,做到对覆盖率的全检测。Modelsim代码覆盖率有如下几种类型:statement(语句)分别检查每一行中每一个语句的执行。branch(分支)检测每个条件语句如“if/then/else/”以及“case”语句的执行。condition(条件)分析“if”中的选项以及多重语句。expression(表达式)分

12、析赋值语句右边的表达式toggle(信号翻转) 检测一个逻辑节点从一个状态转变到另一个状态的次数(如0-1 1-Z等)fsm(有限状态机)检测在仿真过程中达到了多少个状态;检测在状态机仿真过程中有多少次状态变化; Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。5.实验步骤第一步:编译选项 在Modelsim的Workspace里选中需要查看代码覆盖率的文件,然后点击右键选择compilecompile p

13、roperties,如21所示:图21之后选择“Coverage”选项,出现下图所示的窗口,根据需要选择选项,这里选statement、branch、condition、expression和toggle。选完点ok。如图22所示:图22编译点工具栏中的,编译所有的选中的文件,成功后进行下面的步骤。点工具栏中的,出现图23所示的窗口:图23(注:不要选择“Enable optimization”)选择Others,出现如图24所示的窗口:图24选择“Enable code coverage”后点“ok”后出现图25所示的窗口:图25然后就可以观察结果。 下面介绍反映代码覆盖率情况的各个窗口。Workspace 窗口在workspace窗口选择Files标签页并拖动滚动条到右侧,设计的每个文件显示了语句、分支、条件和表达式等语句的执行覆盖率汇总统计

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1