ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:3MB ,
资源ID:13992172      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13992172.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(智力竞赛抢答器电子信息工程报告本科论文Word格式文档下载.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

智力竞赛抢答器电子信息工程报告本科论文Word格式文档下载.docx

1、学号班级级2班系别电子信息工程专业组员 课程设计目的亲自体验一次采用现代电子设计自动化技术,从无到有自主完成一个电子系统设计的全过程,以获得初步的电子系统设计经验。课程设计所需环境计算机、设计软件Quartus、EDA实验箱课程设计任务要求1设计任务: 设计一个能满足8个组同时参加竞赛的抢答器,其功能为:电路复位后,数码显0,主持人示意抢答开始后,每个组都可以通过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的抢答信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。重新复位后数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下

2、轮抢答。2 设计要求: 用VHDL语言描述抢答器逻辑功能,经编译后仿真且波形正确后,下载到实验箱上做真实电路验证。 按学院课程设计规范撰写设计报告:报告中应给出设计方案框图(模块的划分,信息的传递关系)、各模块的VHDL程序、每个模块的仿真波形图,并辅以文字分析说明、下载验证操作过程、设计的心得体会和收获。课程设计工作进度计划序号起止日期工 作 内 容分工情况1周1布置讲解课程设计题目、内容和要求拷贝quartus软件2周1-周2按课程设计题目要求自行设计图书馆查资料3周2-周3子模块编程4周3-周4在实验室上机对所设计的内容做软硬件调试子模块连接5周5按规范撰写课程设计报告书实验结果分析,写

3、报告指导教师签字: 杨显富 2014 年 5 月 20 日系部审核意见:教研室主任签字: 2014 年 月 日摘要:本课程设计分为智力竞赛抢答器的分模块设计和智力竞赛抢答器的原理图设计两个层次的设计。在本次设计中,模块设计分为锁存器设计,编码器设计,译码器设计;系统开发平台为Quartus ;硬件描述语是VHDL。竞赛者可以分为八组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。关键词:抢答器;锁存器;编码器;数码

4、显示器Intellectual Competition ResponderAbstract:The curriculum is divided into quiz buzzer module design and schematic design for quiz buzzer designed on two levels. In this design, the module is divided into latch design, encoder design, decoder design, system development platform for Quartus II; is

5、a VHDL hardware description language. Competitors are divided into eight groups, when answering the questions posed by the moderator to each group within the shortest possible time to make decisions, and press the answer key to answer the questions. When the first person after the button is pressed,

6、 the group number is displayed on the monitor, while the circuit will other groups of key blocked, so that it does not work. After answering questions, convened by the Facilitator, all key recovery and start answering the next round.Key words:Buzzer; latches; encoder, digital display目 录第1章 引言 11.1 E

7、DA的概述 11.2 Quartus II的概述 11.3 VHDL的概述 21.4 智力竞赛抢答器的概述 21.4.1 课程设计题目 21.4.2 课程设计的任务及要求 21.4.3 课程设计的思想.31.4.4 软硬件运行环境及开发工具 3第2章 设计流程 42.1设计模块方框图 42.2 模块功能分析 42.2.1 抢答输入开关电路 42.2.2 锁存器 52.2.3 编码器 52.2.4 译码器 52.2.5解锁器.52.2.6数码显示器与喇叭 52.2.7图1.1与图2.1比较 5第3章 软硬件设计 53.1系统方框图 53.2模块分析 63.2.1锁存器模块和仿真波形 63.2.2

8、编码器模块和仿真波形 93.2.3译码器模块和仿真波形 113.2.4与门模块和仿真波形 153.2.5完整模块和仿真波形 163.3硬件调试与操作说明 163.3.1引脚锁定 163.3.2调试与故障处理 16第4章 课程设计总结与体会 17参考文献 19第1章 引言1.1 EDA的概述20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件

9、结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析

10、到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。1.2 Quartus II概述Quartus II 是Altera 的公司

11、综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了

12、设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Alt

13、era在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。1.3 VHDL的概述VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1