ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:145.42KB ,
资源ID:13969829      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13969829.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DDS信号发生器原理文档格式.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

DDS信号发生器原理文档格式.docx

1、由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。2.1.2 DDS的结构DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为:确定频率控制字M;在时钟脉冲f的控制下,该频率控制字累加至相位累加器生成实时数字相位值;将相位值寻址ROM转换成正弦表中相应的数字幅码。模块DAC实现将数字幅度值高速且线性地转变为模拟幅

2、度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除。相位累加器相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。若当前相位累加器的值为,经过一个时钟周期后变为,则满足=+M为一等差数列,不难得出:=nM+其中为相位累加器的初始相位值。正弦查询表(ROM)DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。数模转换器(DAC)数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式信号。DAC有电压和电流输出两种,其输出的信号并不能真正连续可

3、变,而是以其绝对分辨率为最小单位的,所以其输出实际上是一个阶梯模拟信号。2.1.3 DDS的优点正由于DDS采用全数字技术,从概念到结构都有很大的突破,所以它具有其他频率合成所无法比拟的优越性。频率分辨率高。若时钟频率不变,DDS频率分辨率仅由相位累加器位数来决定,也就是理论上的值越大,就可以得到足够高的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多都小于1mHz甚至更小,这是其他频率合成器很难做到的。工作频带较宽。根据Nyquist定律,只要输出信号的最高频率分辨率分量小于或等于f/2就可以实现。而实际当中由于受到低通滤波器设计以及杂散分布的影响限制,仅能做到40% f左右。超高

4、速频率转换时间。DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。DDS的频率转换时间可达到纳秒数量级,比使用其它的频率合成方法都要小几个数量级。相位变化连续。改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。具有任意输出波形的能力。只要ROM中所存的幅值满足并且严格遵守Nyquist定律,即可得到输出波形。例如三角波、正弦波和矩形波。具有调制能力。由于DDS是相位控制系统,这样也就有利于各种调制功能。2.2 波形产生分析 图3 正弦波产生框图相位累加器为32位累加器,

5、输出为0(2-1),作为正弦查询表的地址输入端。正弦表中存放一个周期的正弦波内的2个点的数据,输出f为一正弦波,其频率由频率控制字进行调节,输出频率:f=f/2FTW最小频率分辨率:f=f/2其中N为相位累加器的位数,FTW为频率步进控制字,f为时钟频率,输出信号频率主要取决于频率控制字FTW。当FTW增大时,f可以不断增加,综合考虑Nyquist采样定理,最高输出频率应小于f/2。根据实验所得,实际工作频率应小于f/3。由于本论文只要求设计出最高为1MHz的信号,根据DA及FPAG的速度,可以很容易地满足设计需要。本例中选用32M的CLK时钟,在输出最高1MHz的信号时,波形在一个周期内仍有

6、32个点,能够完整描述出波形。f=f/2=3210/2=0.00745058所以可以实现1Hz步进,当输出1Hz时,频率控制字FTW=1/f=134.217728。由于频率控制字是由NIOS系统提供的,考虑到浮点运算所占资源太多,所以把浮点运算改为定点运算。则当输出其他任意频率f时Nios内部算法为:FTW=f134+f21/100+f77/10000+f28/10000003 总体设计近年来现场可编程门阵列(FPGA)得到了迅速的发展和广泛的应用,其资源容量、工作频率以及集成度都得到了极大的提高,使得利用FPGA实现某些专用数字集成电路得到了大家的关注,而基于FPGA实现的DDS信号发生器则

7、更有发展前途和研究的意义。3.1 设计的要求根据我们所学的知识储备,对本次信号发生器的设计提出了以下要求:信号发生器能产生正弦波、方波和三角波三种周期性波形;输出信号频率在10Hz1MHz范围内可调,输出信号频率稳定度优于10;在1k 负载条件下,输出正弦波信号的电压峰-峰值Vopp在05V范围内可调;输出信号波形无明显失真;3.2 方案比较及选择方案一:采用模拟锁相环实现。模拟锁相环技术是一项比较成熟的技术。应用模拟锁相环,可将基准频率倍频,或分频得到所需的频率,且调节精度可以做到相当高、稳定性也比较好。但模拟锁相环模拟电路复杂,不易调节,成本较高,并且频率调节不便且调节范围小,输出波形的毛

8、刺较多,得不到满意的效果。方案二:采用直接数字频率合成,用单片机作为核心控制部件,能达到较高的要求,实现各种波形输出,但受限于运算位数和运算速度,产生的波形往往达不到满意效果,并且频率可调范围小,很难得到较高频率,并且单片机的引脚少,存储容量少,这就导致了外围电路复杂。方案三:采用直接数字频率合成,用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于FPGA的高速度,能实现较高频率的波形。控制上更方便,可得到较宽频率范围的波形输出,步进小,外围电路简单易实现。因此采用方案三。4 DDS信号发生器的实现4.1 硬件电路的制作根据设计要求,本论文选用EDA比赛套件中:EDA-S

9、OPC核心板、LCD 1602、RS232&PS2及DDS_BOARD四个模块。电源采用5V直流电源及5V电源供电。各模块连接如图4所示: 图4 各模块连接示意图各模块功能如下:一、由EDA-SOPC核心板提供的FPGA及SDRAM为本系统的核心器件。主要完成功能:1、采用DDS技术基于FPGA设计信号发生模块,产生要求的信号序列;2、利用SDRAM配合FPGA构成片上系统,建立NIOS控制系统,完成任务调度及人机交互控制。核心板分别通过相关接口与其它三个功能模块相连。二、RS232&PS2模块通过8-PIN双排线与核心板的PORT2CP接口相连。将PS2接口的数字小键盘连接到该模块的PS/2

10、接口上,实现按键输入功能。三、LCD1602模块通过16-PIN双排线与核心板的PORT12-LCD接口相连。将液晶LCD1602连接到该模块的液晶接口上,实现人机交互的显示功能。四、DDS模块分别通过14-PIN双排线与核心板的PORT14-DA接口相连及通过16-PIN双排线与核心板的PORT16-IO接口相连。该模块实现两路D/A,其中一路产生信号,另一路实现信号幅度的调整,模块的BNC接头直接输出信号。4.2 硬件模块的介绍4.2.1 EDA-SOPC核心板EDA-SOPC核心板主芯片使用了Cyclone II系列芯片EP2C8Q208C8,具有8256个逻辑单元(LE),可以满足更多

11、、更大的系统需求;18个18*18位乘法器,可以实现数字信号处理(DSP功能);2个增强型锁相环(PLLs),能够提供先进的时钟管理能力,如频率合成、可编程移相、外部时钟输出、可编程占空比、锁定检测、可编程带宽、输入时钟扩频和支持高速差分输入输出时钟信号;具有138个用户 I/O,能够满足大多数系统需求。使用了1个16位SDRAM内存,组建成一个片外8Mbytes系统内存电路;配备了4Mbytes的Flash,用来保存用户数据、系统工程等;使用EPCS4作为配置芯片;丰富的外围设备,供用户进行高级设计; 选用大功率电源芯片来保障系统稳定工作。在此硬件平台上SOPC系统频率达到110MHz,但建

12、议使用的系统频率为85MHz,这样会使系统能更稳定的运行。4.2.2 LCD1602模块LCD1602模块是由液晶LCD1602为核心,提供162字符显示的电路模块。该模块可通过16针接口连接到EDASOPC核心板上,使用方便。该模块电路指底板电路,主要提供EDA-SOPC核心板与LCD1602液晶接口及液晶所需的负压调节及背光控制电路。该接口采用16针接口与核心板PORT12-LCD接口相连。具体如下:图5 与EDA-SOPC核心板接口4.2.3 RS232&PS2模块RS232&PS2模块由RS232电平转换电路和PS2键盘接口电路两部分组成,用于完成RS232串口通信和PS2接口通信,可

13、实现与计算机串口通信、PS键盘扩展等功能。该模块可通过8针接口连接到EDASOPC核心板上。4.2.4 DDS_BOARD模块DDS_BOARD模块包括DA转换、驱动等电路组成。该模块输入端有两组DA数据,一路数据经DA转换后成作为信号输出,另一路数据经DA转换后成作为输出信号的幅度调节。经合EDASOPC核心板的DDS技术可实现任意波形,一定频率、一定幅度范围的信号输出。该模块可分别通过14针和16针两条数据线连接到EDASOPC核心板上。与EDA-SOPC核心板接口,该接口采用14针和16针两条数据线分别与核心板PORT10-DA和PORT12-IO接口相连。 图6 与EDA-SOPC核心

14、板接口5 软件设计5.1 设计的原理图信号发生器的原理图如附录所示,图中涉及到相位累加器、正弦波查询、波形输出等模块的设计,并由各个模块之间的连接构成满足产生正弦波的信号发生器。通过选择波形输出模块的波形选择端sel就可实现方波和三角波的产生,改变频率控制字的大小就可实现调频,在时钟频率一定时,相位累加器的位数越大,频率调节就越精细。相位累加器的输出端与波形输出模块的10位地址输入端(addr9.0)相连,正弦波查询表的输出端与波形输出模块的10位数据输入端(qin9.0)相连。波形输出模块的输出端(qout9.0)为正弦波的离散信号。5.2 软件各模块设计 5.2.1 相位累加器设计 图7 相位累加器模块示意图

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1