ImageVerifierCode 换一换
格式:DOCX , 页数:54 ,大小:2.87MB ,
资源ID:13668069      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13668069.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于GW48PK2的FPGA步进电机细分驱动控制器系统设计毕业设计Word下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于GW48PK2的FPGA步进电机细分驱动控制器系统设计毕业设计Word下载.docx

1、采用FPGA控制步进电机,利用其中的EAB可以构成存放电机各相电流所需的控制波形数据表和利用FPGA设计的数字比较器可以同步产生多路PWM电流波形,对多相步进电机进行灵活的控制3。当改变控制波形表的数据、增加计数器的位数,提高计数精度,就可以对步进电机的步进转角进行任意细分,实现步进转角的精确控制。本文正是基于GW48-PK2的FPGA步进电机,利用EDA技术及VHDL语言对其进行细分控制的设计。通过对步进电机控制原理的研究,在EDA技术平台上完成对步进电机细分驱动控制器的电路设计,编译后下载到FPGA中,最终完成硬件的功能实现。通过本文的讨论,旨在展示一种新的获得步进电机细分驱动控制器的方法

2、,并且实践证明本文所展示的方法简便易行且是行之有效的,为设计步进电机细分驱动控制器提供了一种新的思路。为了较清晰地展示步进电机细分驱动控制器的设计,本文的组织顺序如下:第1章详细介绍了EDA技术的概念,VHDL硬件描述语言的各种优势,论述了EDA技术的发展历程,为后面EDA软件平台的使用奠定了基础。第2章介绍了本课题所使用的硬件GW48-PK2系统 ,它是步进电机细分驱动控制器的实现平台。第3章分析了步进电机工作原理并给出其细分驱动控制器的设计方案,为下一步的电路设计提供了理论支持,是本文的核心部分。第4章详细介绍了在EDA技术软件QuartusII上完成电路设计并最终实现硬件功能的设计流程,

3、是对QuartusII软件使用过程的介绍,更是对理论知识的综合应用。第5章总结与展望。第1章 EDA技术及VHDL语言1.1 EDA技术现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。 EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能4。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言VHDL和EDA软件来完

4、成对系统硬件的实现。EDA技术在硬件实现方面融合了大规模集成电路制造技术,IC版图设计技术、ASIC测试和封装技术、FPGA/CPLD编程下载技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。因此EDA技术为现代电子理论和设计的表达与实现提供了可能性。EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对

5、整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。“自顶向下”的设计方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免工作的浪费,又减少了逻辑

6、功能仿真的工作量,提高了设计的一次成功率。 相对于传统的电子设计方法,EDA还存在以下等优势:1.可以大大降低设计成本,缩短设计周期。2.库都是EDA公司与半导体生产厂商合作、共同开发,从而能够完成各种自动设计过程。3.极大地简化设计文档的管理。4.极大地提高了大规模系统电子设计的自动化程度。5.设计者拥有完全的自主权,再无受制于人之虞。6.良好的可移植与可测试性,为系统开发提供可靠的保证。7.能将所有设计环节纳入统一的自顶向下的设计方案中。8.在系统板设计结束后仍可利用计算机对硬件系统进行完整的测试。9.对设计者的硬件知识和硬件经验要求低,使设计者能更大程度地将自己的材质和创造力集中在设计项

7、目性能的提高和成本的降低上。10.EDA技术具有更好的高速性能。11.EDA技术以全硬件来实现,具有高可靠性5。1.2 VHDL硬件描述语言硬件描述语言(HDL)是一种用于设计硬件电子系统的计算机语言,是EDA技术的重要组成成分,英文全名是VHSIC(Very High Speed Integrated Circuit)Hardware Description Language,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输人500至1000个门,而利用VHDL语言只需要书写一行

8、“ABC”即可。而且 VHDL语言可读性强,易于修改和发现错误,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性,是本课题设计过程中所使用的硬件描述语言。VHDL支持各种模式的设计方法:自顶向下与自底向上或混合方法5。自底向上的设计方法是一种低效、低可靠性、费时费力且成本高昂的设计方法。而在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程,是其首选设计方法。应用VHDL进行自顶向下的设计,就是使用VHDL模型在所有综合级别对硬件设计进行说明、建模和仿真测试。流程如图1-1所示:图1-1自顶向

9、下的设计流程VHDL还具有以下优点:1.VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。2.VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。3.VHDL的设计不依赖于特定的器件,方便了工艺的转换。4.VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。综上所述,EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世。广大电子工程人员掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竞争与

10、发展的需要。1.3 EDA技术发展历程EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)(Computer Aided Design)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)(Computer Aided Engineering)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)(E

11、lectronic System Design Automation)阶段。1.3.1 CAD阶段20世纪70年代,随着中、小规模集成电路的开发和应用,传统的手工制图设计印刷电路板和集成电路的方法已无法满足设计精度和效率的要求,于是工程师们开始进行二维平面图形的计算机辅助设计,这样就产生了第一代EDA工具,设计者也从繁杂、机械的计算、布局和布线工作中解放了出来。但在EDA发展的初始阶段,一方面计算机的功能还比较有限,个人计算机还没有普及;另一方面电子设计软件的功能也较弱,人们主要是借助与计算机对所设计电路的性能进行一些模拟和预测。此处就是完成印刷电路板的布局布线、简单版图的绘制等工作。例如,目

12、前常用的PCB布线软件Protel的早期版本Tango、用于电路模拟的SPICE软件以及后来产品化的IC版图编辑与设计规则检查系统等软件,都是这个时期的产品。20世纪80年代初,随着集成电路规模的快速增大,EDA技术有了较快的发展。更多的软件公司,如当时的Mentor公司、Daisy Systems及Logic System公司等相继进入EDA领域,开始提供带电路图编辑工具和逻辑模拟工具的EDA软件,主要解决了设计之前的功能检验问题。总的来说,这一阶段的EDA水平还很低,对设计工作的支持十分有限,主要存在两个方面的问题需要解决。1.EDA软件的功能单一、相互独立。这个时期的EDA工具软件都是分

13、别针对设计流程中的某个截断开发的,一个软件只能完成其中一部分工作,所以设计者不得不在设计流程的不同阶段分别使用不同的EDA软件包。然而,由于不同的公司开发的EDA工具之间兼容性较差,为了使设计流程前一级软件的输出结果能够被后一级软件接收,就需要人工处理或再运行另外的转换软件,这往往很复杂,势必影响设计的速度。2.对于复杂电子系统的设计,不能提供系统级的仿真和综合,所以设计中的错误往往只能在产品开发的后期才能被发现,这时再进行修改十分困难。1.3.2 CAE阶段 进入20世纪80年代以后,随着集成电路规模的扩大及电子系统设计的逐步复杂,使得电子设计自动化的工具逐步完善和发展,尤其是人们在设计方法

14、学、设计工具集成化方面取得了长足的进步。各种设计工具,如原理图输入、编译与连接、逻辑模拟、逻辑综合、测试码生成、版图自动布局以及各种单元库均已齐全。不同功能的设计工具之间的兼容性得到了很大改善,那些不走兼容道路、想独树一帜的CAD工具受到了用户的抵制,逐渐被淘汰。EDA软件设计者采用统一数据管理技术,把多个不同功能的软件结合成一个集成设计环境。按照设计方法学制定的设计流程,在一个集成的设计环境中就能实现由寄存器传出级(Register Transfer Level,RTL)开始,从设计输入到版图输出的全程设计自动化。在这个阶段,基于门阵列和标准单元库设计的半定制ASIC得到了极大的发展,将电子系统设计推入了ASIC时代。但是,大部分从原理图出发的CAE工具仍然不能适应复杂电子系统的要求,而且具体化的元件图形也制约着优化设计。1.3.3 EDA阶段20世纪90年代以来,继承电路技术以惊人的速度发展,其工艺水平已经达到了深亚微米级,在一个芯片上已经可以集成上百万、上千万乃至上亿个晶体管,芯片的工作频率达到了GHz级。这不仅为片上系统(System On Chip,SOC)的实现提供了可能,同时对电子设计的工具提出了更高的要求,促进了EDA技术的发展。在这一阶段,出现了以

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1