ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:279.85KB ,
资源ID:13525511      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13525511.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(eda数字逻辑乒乓球比赛游戏机Word文档格式.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

eda数字逻辑乒乓球比赛游戏机Word文档格式.docx

1、指导教师职称总体设计要求和技术要点1.任务及要求:(1) 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。(2) 用8个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。(3) 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。(4) 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。(5) 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,

2、每计满21分为1局。(6) 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。(7) 按湖南涉外经济学院课程设计管理办法要求提交课程设计报告。工作内容及时间进度安排第17周:周1-周3 :立题、论证方案设计周4-周5 :预答辩第18周:仿真实验8验收答辩课程设计成果1与设计内容对应的软件程序2课程设计总结报告摘要 乒乓球运动是一项受大众非常喜爱的运动,它是一项集健身性,竞技性和娱乐性为一体的运动。乒乓球是一项非常受大众喜欢的运动,几十年来,乒乓球运动在中国迅速兴起,很重要的原因就是对客观条件要求不高,随时随处就能玩起来。乒乓球运动越来越多地被作为

3、增强智力、提高工作效率以及保健、医疗和康复的极佳手段而引起各方面的重视。如今,人们生活太忙碌,很多的人只能通过网络来玩乒乓球游戏,而乒乓球游戏机正好解决了人们的困扰。它简单易行,只要简简单单几个手指的操作就能达到同样娱乐跟锻炼的效果。关键字:数显;频率;分频;计数器;状态机;目录一、总体设计思想11基本原理2二、设计步骤与调试过程21总体设计电路22模块设计与模块程序33.仿真结果分析12三、结论与心得13四、参考文献13一、总体设计思想1.基本原理用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右

4、到左,其移动的速度应能调节。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。2.设计框图 1.1设计流程图二、设计步骤和调试过程1、总体设计电路用8个发光二极管代表乒乓球台,在游戏机的两侧设置两个开关,一个是发球开关,一个是击球开关,甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二

5、极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,乙方就可击球。若乙方提前击球或没击中球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛。使用VHDL进行电路系统设计,利用PLD来实现控制器的硬件电路1.2乒乓游戏机的电路框图2、模块设计和相应模块程序系统各功能模块的实现1、 模块SEL的实现library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk:in std_logic;sell:out std

6、_logic_vector(2 downto 0);end sel;architecture sel_arc of sel isbeginprocess(clk)variable tmp:std_logic_vector(2 downto 0);if(clkevent and clk=1)thenif(tmp=000tmp:=001;elsif tmp=then100101end if;sell=tmp;end process;end sel_arc;2、模块CORNA的实现LIBRARY IEEE;entity coma isport(clr,af,aj,bf,bj,clk: shift:o

7、ut std_logic_vector(7 downto 0); ah,al,bh,bl:out std_logic_vector(3 downto 0);end coma;architecture com_arc of coma is signal amark,bmark:integer;begin process(clr,clk)variable a,b:std_logic;variable she:std_logic_vector(7 downto 0); if clr=0 a:= b: she:00000000 amark=0; bmark8 then if bj=amark+1; else &she(7 downto 1); end if; elsif she=0 then else if she16 and she/=0 then if aj=bmark+1;=she(6 downto 0)& elsif she=0 then al=ala; bl=bla; ah=aha; bhq=d3;end case;end ch41_arc;4、模块DISPA的实现entity dispa is port(d: q:ou

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1