ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:206.72KB ,
资源ID:13522585      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13522585.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(《EDA技术》课程设计报告彩灯控制器Word下载.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

《EDA技术》课程设计报告彩灯控制器Word下载.docx

1、4总结75参考书目86附录一程序97附录二编译168附录三时序仿真17 学习EDA开发软件和MAX+plus 的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。1)设计一个彩灯控制器,能使8个彩灯(LED管)能连续发出四六种以上不同的显示形式;2)随着彩灯显示图案的变化,发出不同的音响声。3.1 方案论证这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出

2、则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。 图3-1-1 模式6结构图3.2 模块设计1)集成分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。模块说明:Rst:输入信号 复位信号 用来复位集成分频器的输出使输出为“0”,及没有音乐输出。Clk:输入信号 模块的功能即为分频输入的频率信号。Clk_4、clk_6、clk_8、clk_10:输出信号 即为分频模块对输入信号clk的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。 图3-2-1 集成分频器2)32进制计数器模

3、块32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。输入信号 复位信号 用来复位32进制使其输出为“00000”。输入信号 用来给模块提供工作频率。Count_out4.0:输出信号 即为32进制计数器的输出。 图3-2-2 32进制计数器3)彩灯控制模块彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。输入信号 使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input4.0:输入信号 不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。Output7.0:输出信号 直接与彩灯相连来控制彩灯。 图3-2-3 彩灯控制模块4) 4选1选择器模块输入信

4、号 复位信号 使选择器的输出为“0”。In1、in2、in3、in4:输入信号 接分频器的输出。Inp1.0:输入信号 接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。Output:输出信号 直接接扬声器即输出的是不同的频率来控制扬声器播放音乐。 图3-2-4 4选1选择器5)4进制计数器模块4进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作。输入信号 来为计数器提供工作频率。输入信号 复位信号 使计数器的输出为“00”。 图3-2-5 4进制计数器3.3 系统结构 整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制3

5、2进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。 图 3-3-1 系统功能模块4.总结这次的EDA课程设计有一周的时间,在这一周的时间里我们充分合理的安排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计中并不是一帆风顺的,我们遇到了一些的问题,例如我们开始时用的文本的方式用一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越到很容易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自己都不知道程序的整体框图是什么,导致后面不能够继续

6、下去,后面我们再一次对我们这次的设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方式来完成本次的课题设计,当然最重要的是分析各个模块间的关系。最后我们采用上面分析的结构框图。最后我们的设计很成功,仿真和硬件测试都是正确的,实现了我们的设计要求和目的。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识,把它运用到了实践当中,并且学到了很多在书本撒和那个所没有学到的知识,通过查阅相关资料进一步加深了对EDA的了。总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结

7、合起来,才能提高自己的实际动手能力和独立思考的能力。在我们的共同努力和指导老师的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目的。参考书目:1赵伟军,Protel99se教程,北京,人民邮电出版社,1996年2金西,VHDL与复杂数字系统设计,西安,西安电子科技大学出版社,20033汉泽西,EDA技术及其应用,北京,北京航空航天大学出版社,20046附录一 程序:-分频器模块- MAX+plus II VHDL Template- Clearable loadable enablable counterLIBRARY ieee;USE ieee.std_logic_1164.all;EN

8、TITY fenpinqi IS PORT ( clk,rst : IN std_logic; clk_10,clk_4,clk_6,clk_8 : OUT std_logic );END fenpinqi;ARCHITECTURE cd OF fenpinqi ISbeginp1:process(clk,rst) variable a:integer range 0 to 20; begin if rst=1 then clk_4=3 then a:=0;=a+1; end if;end process p1;p2: variable b: clk_6=5 then b:=b+1;end p

9、rocess p2;p3: variable c: clk_8=7 then c:=c+1;end process p3;p4: variable d: clk_10=9 then d:=d+1;end process p4;end cd;-4选1选择器-ENTITY xzq4_1 IS ( rst:in std_logic; inp:in integer range 0 to 3; in1,in2,in3,in4 : In std_logic; output :END xzq4_1;ARCHITECTURE a OF xzq4_1 ISBEGIN PROCESS (rst,inp) BEGIN if(rst=) then outputoutput=in2; when 2=in3; when 3=in4; when others=null; end case; end if; END PROCESS;END a;-彩灯控制模块-ENTITY caideng IS input : IN INTEGER RANGE 0 TO 31; OUT std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0)END caideng;ARCHITECTURE a OF caideng IS PROCESS

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1