ImageVerifierCode 换一换
格式:DOC , 页数:18 ,大小:712.50KB ,
资源ID:133899      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/133899.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA实验设计论文-拔河游戏机设计.doc)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA实验设计论文-拔河游戏机设计.doc

1、EDA技术及应用考试设计论文题目 (中文):拔河游戏机设计姓 名贺鹤学 号201308002118院 (系)电子与信息工程学院班 级通信1301班任 课 老 师蒋恩松、 杨振南开 课 学 期2015年下期项目实施日期2015年 6 月 1 日 至 2015年 6月 12 日设 计 题 目 要 求设计基本要求A.设计一个能进行拔河游戏的电路;B.电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点;C.游戏双方各持一个按钮,迅速的、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点就移动一次;D.亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保

2、持,有复位后才使亮点恢复到中心;E.用数码管显示获胜者的盘数;扩展要求:3、设计最终提交形式(1)仿真。(2)实验箱验证。(3)设计论文。设 计 方 案设计功能:1. 按钮信号即输入的脉冲信号,每按一次按钮都应进行有效的计数;2. 用可逆计数器的加减输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮;3. 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移;4. 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数;5. 将双方二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计

3、数器进行一次计数,这样得到双方取胜次数的显示;6. 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零;系统内部功能模块系统内部模块图如下:抢答好选手编号4个选手得分显示,使用数码管14指导老师意见:设 计 论 文第一章 设计目标1. 拔河游戏机的基本原理 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排7个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方失败,对方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。比赛开始,由裁判下达比赛命令后,

4、甲乙双方才能输入信号,否则,输入信号无效。裁判信号由键盘空格键来控制。“电子绳”由7个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于“电子绳”中点的LED发亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。当比赛结束时,计分器清零,为下一次比赛做好准备。2.拔河游戏机功能 本设计中实现的拔河游戏机功能如图

5、11.按钮信号即输入的脉冲信号,每按一次按钮都应进行有效的计数;2.用可逆计数器的加减输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮;3.当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移;4.由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数;5.将双方二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示;6.设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零;第二章 详细设计方案根据需求,本设

6、计的内部结构如图2 所示。主要包括按键消抖模块,分频模块,主控制电路,LED显示模块,数码管显示模块五个部分。消抖模块用来按键时消除抖动。主控制电路控制玩家按键移位,LED灯随着按键频率不同而移动,将移动结果用拔河LED显示模块显示出来。数码管显示模块将计分输赢结果显示输出。图2 系统内部模块图1.分频器模块程序设计及仿真module fpq(_10000HzOut,_25HzOut,_50MHzIn);input _50MHzIn;output _10000HzOut,_25HzOut;parameter width1=16,value1=2499;parameter width2=10,v

7、alue2=499;reg _10000HzOut,_25HzOut;reg width1-1:0 Count;reg width2-1:0 Cnt; always (posedge _50MHzIn) /2500*2*10000Hz=50MHz if (Count=value1) /Binary Counter(02499)begin Count = 15d0; _10000HzOut = _10000HzOut; end else Count = Count + 1b1; always (posedge _50MHzIn) /100 000*2*25Hz=50MHz if (Cnt=val

8、ue2) /Binary Counter(099999)begin Cnt = 15d0; _25HzOut = _25HzOut; end else Cnt = Cnt + 1b1;endmodule 图3 分频器仿真波形图2.按键模块程序设计及仿真按键模块采用3个或门消抖,由于有两个玩家,所以设置有两个按键,采用25hz脉冲。 module xiaodou(key1, key2, _25H,k1, k2);input key1; / 按键1input key2; / 按键2input _25H; /脉冲25Hzoutput k1;output k2;assign k1=(a1|a2|a3)

9、; /assign k2=(b1|b2|b3); / 采用3个或门消抖reg a1,a2,a3,b1,b2,b3;always (posedge _25H)begin a1=key1;a2=a1;a3=a2; b1=key2;b2=b1;b3=b2;endendmodule图4 仿真波形图3.主程序模块程序设计及仿真拔河主程序开始时设有复位按键,通过左右数码管显示玩家分数,有两个led小灯代表拔河绳子的中点。拔河结束也可清零。module kongzhi(k1, k2, rst, nrst, _25H, q, left, right);input k1; /input k2; /input r

10、st; /拔河开始复位按键input nrst; /计分清零按键input _25H; /output 2:0 q; /拔河led显示输出output 2:0 left; /左边分数数码管输出output 2:0 right; /右边分数数码管输出reg 2:0q; reg n;reg 2:0left,right; /reg寄存器always (negedge rst or posedge _25H or negedge nrst) beginif(rst) begin q=7;n=1;end /q,led回中点 n使能开 else if(nrst) begin left=0;right=0;

11、end /计分清零else if(n) /判断使能是否开! begin case(k1,k2) / 按键组 2b01: q=q+1; / led向右移一位 2b10: q=q-1; / led向左移一位 default: q=q; / 保持 endcase / case(q) 3b000:begin n=0;left=left+1;end /左+1分,n使能关 3b110:begin n=0;right=right+1;end /右+1分,n使能关 default:n=n; /保持 endcase end endendmodule图5 仿真波形图4. LED模块程序设计及仿真 Led设有7个灯

12、。 module led(q, _50M, led);input 2:0 q;input _50M;output 6:0 led; reg 6:0 led; always(posedge _50M) case(q) 3d0:led=7b1111000; 3d1:led=7b0111000; 3d2:led=7b0011000; 3d3:led=7b0001000; 3d4:led=7b0001100; 3d5:led=7b0001110; 3d6:led=7b0001111; default:led=led; endcaseendmodule图6 仿真波形图5. 数码管模块程序设计及仿真module shumaguan(left, right, _10000H, segout, wx);input 2:0 left;input 2:0 right;input _10000H;output 6:0 segout; /数码管输出output 2:0 wx; reg 2:0 wx; reg 6:0 segout; reg 1:0 count; reg 3:0 seg_in; always(p

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1