ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:137.39KB ,
资源ID:1331136      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1331136.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(北邮数电实验报告.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

北邮数电实验报告.docx

1、北邮数电实验报告北邮-数电实验报告 :仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 :故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。实验二:用VHDL设计和实现组合逻辑电路一:实验要求 :用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。:用VHDL设计一个4位二进制奇校验

2、器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 :用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。二:故障及问题分析在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat05六个管脚,从而达到了实验的要求。实验三:用VHDL设计和实现时序逻辑电路

3、一:实验要求 :用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容实验三(3)模块端口说明及模块代码模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。library ieee;use ieee.std_logi

4、c_1164.all;use ieee.std_logic_unsigned.all;entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic );end div12;architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic;begin process(clk,clear) begin if(clear=1) then temp=0; elsif clkevent and clk=1 then i

5、f(temp=5) then temp=0; clktmp=not clktmp; else temp=temp+1; end if; end if; end process; clk_out=clktmp; end;模块二:count10是一个有高电平复位功能的8421十进制计数器,将分频器的输出作为时钟信号接为计数器的输入,即可在输出端得到计数的下一状态的输出。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 is port( clk,clear:in std_lo

6、gic; q:out std_logic_vector(3 downto 0) );end count10;architecture struct of count10 is signal q_temp:std_logic_vector(3 downto 0);begin process(clk) begin if (clkevent and clk=1) then if clear=1 then q_temp=0000; elsif q_temp=1001 then q_temp=0000; else q_temp=q_temp+1; end if; end if; end process;

7、 qbbbbbbbbbbb=0000000; end case; end process; end; 连接图如下::仿真波形图 :波形图分析 由仿真波形图可以看出,输入的时钟信号经过分频器后产生了周期为输入12倍的时钟信号,然后进行了上升沿有效的从09的10进制计数,每个计数数字都对应一个7位输出来控制数码管。同时此电路还具有同步高电平复位的功能。故障及问题分析一开始发现仿真之后计数器输出和数码管输出都全是0,仔细检测后发现是因为没有使用总线进行连接,使用总线后解决了问题。实验四:用VHDL设计与实现相关电路一:实验要求:用VHDL实现6个数码管的串行扫描,让6个数码管显示不同的数字,仿真并验

8、证功能,并下载到实验板测试。:实现数码管的滚动显示(选做)二:报告内容:实验四模块端口说明:VHDL代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shumaguan isport ( clk:in std_logic; control_out:out std_logic_vector(5 downto 0); /管脚控制 seg:out std_logic_vector(6 downto 0) / 数码管显示 );end shumaguan;architecture a of

9、shumaguan issignal control:std_logic_vector(5 downto 0); signal count:integer range 0 to 5; begin p1:process(clk) /P1实现计数功能 begin if (clkevent and clk=1) then if count=5 then count=0; else countcontrolcontrolcontrolcontrolcontrolcontrol=111110; end case; end process; control_outsegsegsegsegsegsegseg

10、=0000000; end case; end process;end;:仿真波形图:仿真波形分析通过仿真波形我们可以看出,在输入时钟的每个上升沿,由于内部的计数器功能,使得每一个计数对应着不同的管脚控制输出,而不同的管脚控制信号又对应着不同的数码管显示的信号,从而达到6个数码管显示不同数字的功能,如果输入时钟的频率够大,就能实现6个数字的同时显示。:故障以及问题分析在进行下实验板测试的时候,我发现我下载完后总是会使得点阵发光,而且频率高的时候小数点会亮,然而其他同学都没有遇到。我在检查完代码与仿真后发现并没有问题,最后只有换了一个实验板,之后结果就对了,我想可能是实验板出了问题。总结与结论 本学期的数字电路实验课让我受益匪浅。它让我熟悉了quartus这款新的软件,也让我学会了VHDL这门新的语言,同时这门实验课让我在数字电路课程上学习到的理论知识有了实际的作用。实验促进了我对数字电路的理解,在不断的编写和调试代码的过程中,我的逻辑思维也有了极大的提高,这对我以后的学习都会起到巨大的作用。我从实验课过程中还学习到了重要的一点就是代码一定要自己写一遍,就算是书上有的也要自己打一遍来加深理解。学习语言重点就是自己的实际操作,光是看是不行的。这就是我对这学期数字电路实验课的总体感受,希望下学期的实验自己可以做的更好。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1