ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:226.42KB ,
资源ID:13090931      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13090931.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(优秀毕业论文——基于FPGA的数字钟设计文档格式.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

优秀毕业论文——基于FPGA的数字钟设计文档格式.docx

1、二数字钟各具体模块2.1 分频器(fengp)模块本系统共需 3 种频率时钟信号(10MHz、1Hz、1KHz)。为减少输入引脚,本系统采用分频模块,只需由外部提供 10MHz 基准时钟信号, 其余两种频率时钟信号由分频模块得到。分频器管脚分频器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fengp is port(clk0:in std_logic;clk_1Hz,clk_1kHz:out std_logic);end entity;architecture one of

2、 fengp issignal q1Hz:integer range 0 to 9999999 ; signal q1kHz:integer range 0 to 9999 ; beginprocess(clk0) beginif clk0event and clk0=1thenif q1Hz5000000-1 then clk_1Hz=0;q1Hz=q1Hz+1; elsif q1Hz=10000000-1 then q1Hz=0;elseclk_1Hz end if;if q1kHz5000-1 then clk_1kHzq1kHz=q1kHz+1; elsif q1kHz=10000-1

3、 then q1kHzelseclk_1kHzend if;end process; end;2.2 60(count60)进制计数校时模块本模块要实现的功能是:正常计时、校时、校分在每个状态下都会产生不同控制信号实现相应的功能。60 进制计数器管脚图60 进制计数器代码: library ieee;entity count60 is port(clk,set:CLR:in std_logic: co:out std_logic;h,l:buffer std_logic_vector(3 downto 0); end entity;architecture one of count60 is

4、signal co1,set1 : std_logic;set1 = set ;co = (set1 or co1); process(clk,CLR) beginif CLR=then h=0000lelseif clkevent and clk=-if start= then- h=d(7 downto 4);- l=d(3 downto 0);if(h=0101 and l=1001)then co1hif l then l=l+1;if h then h=h+1;co1 end process;2.3 24(count24)进制计数器模块24 进制计数器管脚图24 进制计数器时代码:e

5、ntity count24 is port(clk:- d: in std_logic_vector(7 downto 0)- co:architecture one of count24 is beginprocess(clk,CLR) beginelsif clk- if start=-h-lbt null; end case;end process P1;p2:process(clk) beginif(clk)then cnt sg = 1000000sg end process P3;2.4 数字钟顶层设计模块数字钟顶层设计模块管脚图数字钟顶层设计模块代码:entity dclock is port(clk,s1,s0,k0:sg7: bt8:architecture one of dclock is component fengpport(clk0: clk_1Hz,clk_1kHz:end component; component count60port(clk,set:- d:co: end component;component count24 port(clk:in std_log

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1