ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:988.28KB ,
资源ID:13006919      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/13006919.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于DDS和单片机数字控制信号发生器的设计外文翻译文献Word格式文档下载.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于DDS和单片机数字控制信号发生器的设计外文翻译文献Word格式文档下载.docx

1、Keywords: DDS; MCU; Signal generator; Phase Accumulator; DACAbstract. Its advantage to use DDS chip is output signal frequency bigger, and precision higher, But users cant change the output signal waveforms. The MCU can produce the required arbitrary waveforms, but its program execution of the order

2、 limit the speed.So we use their Synergy to design the digital controlled signal generator. The System has the advantage of output good quality waveform, frequency of precision and stability ,and high frequency, empty, amplitude and phase is to step into the need.IntroductionThe digitally synthesize

3、d sine waveform (Direct Digital Synthesis, DDS) is a well-known method and has been applied to many embedded applications 1. This technique can be used to create a positive digital sine waveform. Compared to other frequency composing method, Direct Digital Frequency Synthesis(DDS) has been the most

4、popular trend in modern frequency synthetic technique for its excellent characteristics. The signal source that the DDS technology realizes can carry out accurate controlling on DDS frequency , extent , phase exporting wave forms etc. by numerical control circuit, the system making use of this metho

5、d has many merits such as stability, reliably and accuracy.The commercial DDS chip can only export a sine wave for the data in the ROM form already has been solidified. If needing to come into being any wave form, it may come true by the way that FPGA adopts DDS IP core or hardware describe language

6、 etc, however,the cost of This way cost is high; on the other hand, any wave form can be achieved by making use of micro controller unit (MCU) to carry out figure frequency combining and DA converting. The experiment and applying testing have shown that the numerical control signal source composed o

7、f STM32 micro controller and DDS chip can work well.DDS and wave form programming patternThe core of DDS system is phase accumulator carriage, and it is composed of a ADR and oneunit phase register. When any clock comes, the phase register increases by with the step length, phase register output and

8、 phase control word add together, and then the output is imported to sine inquiry form address.The sine inquiring form includes the numeral extent information of one-period sine wave, each address corresponds to the phase dot of 0360 degrees of the sine wave. The mapping digital signal drives DAC an

9、d outputs analog value. The output sine circle and frequency areThe phase register will return to the original state when the 2N/M fc clock is finished.Accordingly, the DDS system output a sine wave when the sine inquiring form finish a circle. The output sine circle and frequency is TO -output sine

10、 wave circle, unit: s; TC -external referenced clock circle, unit: M -accumulated step length of phase register, constant; f out -output sine wave frequency, unit: Hz; f c -external referenced clock frequency, unit: N -phase accumulator digit, constant.The relationship among the frequency control wo

11、rd, and the output signal frequency and the referenced clock frequency are:Frequency control word is directly proportional to the output signal frequency. In order to describe clearly, the sine wave form is as one vector turns around phase circle, the phase circle matches along with a cycle sine wav

12、e. Every sampling pots in wave form corresponds to a phase dot of the phase circle.To synthesis the required frequency signal, it needs to accomplish the following steps1. Controlling every sampling increment of phase and accumulating them (frequency control word K), output 2 pi cumulated phase (usi

13、ng phase accumulator).2. Converting 2 pi accumulating phase into the corresponding sine amplitude, use ROM to store the corresponding phase-extent form of sine function in general.3. Use DAC to change extent code into the signal simulating voltage.4. The voltage signal that DAC exports is ladder wav

14、e form , the required simulation voltage out is achieved after LPF smoothing.Numerical control DDS signal source system designs analysisSystem uses the STM32 as control core and the AD9850 as generator. STM32 is 32-bit ARM-based micro controller with 128 K byte flash memory.The two signal output of

15、STM32 can be achieved by controlling AD9850 and DAC0832 output simultaneously. The system designs block diagram is shown in Fig. 1. One signal output can generate 30 MHz sine wave and rectangular wave by controlling AD9850, the other output generate any wave form with its frequency less than 10 KHz by numerical frequency mixture of DAC0832.Fig. 1 System designs block diagramHardware designAD9850 moduleAD9850 contains the DDS sy

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1