ImageVerifierCode 换一换
格式:DOCX , 页数:41 ,大小:269.49KB ,
资源ID:1273895      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1273895.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的SPI串行外围接口接口设计本科毕业设计.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的SPI串行外围接口接口设计本科毕业设计.docx

1、基于FPGA的SPI串行外围接口接口设计本科毕业设计武汉理工大学本科学生毕业设计(论文)开题报告基于FPGA的SPI串行外围接口接口设计1、目的和意义及发展现状SPI是英文Serial Peripheral Interface的缩写,中文意思是串行外围设备接口,SPI是Motorola公司推出的一种同步串行通讯方式,是一种三线同步总线,它是一种常用的标准接口,由于其使用简单方便且节省系统资源,很多芯片都支持该接口,SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等。当然,串口通信还有其他的接口方式,SPI接口和UART相比,多了一条同

2、步时钟线,上面UART的缺点也就是它的优点了,对通信双方的时序要求不严格不同设备之间可以很容易结合,而且通信速度非常快。一般用在产品内部元件之间的高速数据通信上面,如大容量存储器等。这就凸现SPI的好处。SPI接口的扩展有硬件和软件两种方法,软件模拟SPI接口方法虽然简单方便,但是速度受到限制,在高速且日益复杂的数字系统中,这种方法显然无法满足系统要求,所以采用硬件的方法实现最为切实可行。这使得与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。当前,基于主从处理器结构的系统架构已经成为一种主流(如 DSP+FPGA,MCU+FPGA等),FPGA是在ASIC的基础发展出来的,它克

3、服了专用 ASIC不够灵活的缺点。与其他中小规模集成电路相比,其优点主要在于它有很强的灵活性,即其内部的具体逻辑功能可以根据需要配置,对电路的修改和维护很方便。目前,FPGA的容量已经跨过了百万门级,使得 FPGA成为解决系统级设计的重要选择方案之一。在这种架构下,应用FPGA来构建SPI通信接口是切实可行的。参考文献:1 孙丰军,余春暄. S P I 串行总线接口的V e r i l o g 实现. 北京工业大学 电子信息与控制工程学院 北京 10002.2 刘福奇,刘波. Verilog HDL应用程序. 电子工业出版社,2009.103 顾卫刚. 串行外围接口. 陕西:西安交通大学,20

4、04.4 徐洋等.基于Verilog HDL的FPGA设计与工程应用.人民邮电出版社.20095 K.Babulu, K.Soundara Rajan. FPGA IMPLEMENT ATION OF SPI TRANSCEIVER MACROCELL INTERFACE WITH SPI SPECIFICATIONS. JNTU Colleage off Engineering,2008.2、基本内容和技术方案2.1、研究的基本内容(1)熟悉通信及通信接口相关方面的知识,学习并掌握SPI通信接口的结构,协议及原理。(2)熟悉VERILOG语言及其开发环境ISE,使用该语言进行数字电路(FPG

5、A)设计,慢慢深入VERILOG语言。(3)设计流程图,状态图,并一步步用Verilog语言实现仿真验证I接口串口通信。(4)采用实验板或自行设计电路进行调试,并采用相关仪器验证。(5)系统整体调试、优化,或就某一部分进行优化并做深入的研究与扩展。2.2、 技术方案(1)硬件设计模型硬件实现主要是基于PLD的CPLD,FPGA中实现。如FPGA是在ASIC的基础发展出来的,它克服了专用 ASIC不够灵活的缺点。与其他中小规模集成电路相比,其优点主要在于它有很强的灵活性,即其内部的具体逻辑功能可以根据需要配置,对电路的修改和维护很方便。目前,FPGA的容量已经跨过了百万门级,使得 FPGA成为解

6、决系统级设计的重要选择方案之一。在这种架构下,应用FPGA来构建SPI通信接口是切实可行的。下图模式一种主从模式:SPI 接口作为主机与从机的通讯接口,主要完成以下工作: SPI将从主机接收到的8位的并行数据,转换为从机所能接收的串行数据,并将该数据根据SPI协议送给从机。 主机产生从机所需的时钟信号SCLK,片选信号CS。 接收从从机传回的读信号和串行数据,并将其转换为并行数据。(2)系统实现方案用FPGA实现SPI串行外围接口是一个比较复杂的系统较大的系统没有科学的设计方法就很难保证不出错,并很难言简意赅的清晰思路完成方案。此方案采用了状态机来设计,本项目的研究主要采用理论分析、逻辑推理、

7、试验调试等方法。针对状态机,其主要有以下特点:1)有限状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点。 2)状态机的结构模式相对简单。 3)状态机容易构成性能良好的同步时序逻辑模块。 4)状态机的Verilog HDL表述丰富多样。 5)在高速运算和控制方面,状态机更有其巨大的优势。 6)就可靠性而言,状态机的优势也是十分明显的。基于以上特点,用状态机的方法描述SPI通信过程简单方便并可靠,基于状态机,SPI通信接口的状态大致转移图如下所示:首先是SPI接口在等待状态,当检测到发送指令时触发信号进入发送状态,在系统指令下检测到写信号时进入发送数据状态,然后一位位发送数据,当检测到读信号时,

8、进入读状态,当检测到发送接收都完毕时,进入发送接收完毕状态,再转向等待状态。(3)关键技术的实现时序问题将总线控制信号封装成指令,使用者只需通过发送指令的方式操作,避免了复杂的时序逻辑设计问题。全双工传输方式的设计如果全部使用状态机的方式完成设计,则可发现其很难完成全双工即收发独立模式,则在此过程中可以采用流水线设计方式,使之收与发之间独立进行,便可完成全双工传输方式的设计。3、进度安排第12周:查阅相关SPI文献资料,对其相关研究内容进行大体了解,并明确其原理和基本实现方案,完成开题报告,熟悉ISE操作和在线调试,了解Verilog HDL语言的基本语法。第34周:详细理解和研究SPI的原理

9、,对Verilog HDL语言有一定的理解。第512周:对于Verilog HDL语言有深入理解,并具备一定的编程能力,能设计基本单元器件,并能读懂大型程序,熟练状态机的设计方法,对SPI有着工作过程有着细致的了解第13-16周:完成并修改毕业论文。第17周: 准备论文答辩。4、指导教师意见 指导教师签名: 年 月 日 摘要随着专用集成电路(ASIC)设计技术的进步以及超大规模集成电路(VLSI)工艺技术的飞速发展,以及其价格的日益降低,采用FPGA编程的硬件电路来实现诸如SPI接口也日益切实可行,相对软件实现具有更好的优点。SPI接口是一种常用的标准接口,由于其使用简单方便且节省系统资源,很

10、多芯片都支持该接口,SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等。由于SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照Motorola的SPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的修改)。本文基于一种使用较为普遍的协议来进行设计,并参照Motorola公司的MC68HC11A8单片机中的SPI模块定义来设计的简化的SPI接口,用Verilog语言进行编写设计,并在ISE软件上进行设计仿真,并在基于Xlinx公司

11、的Spartan-3E芯片的Digilent公司出品的Nexys2开发板上用在线逻辑分析仪chipscope进行板上调试验证观察结果,并完成实现功能,并具有一些独创性的设计。关键词:FPGA Verilog SPI协议 chipscope ISE AbstractWith the advances in design technology of ASIC and VLSIs rapid development, and its price is lower, the hardware circuit based on FPGA programming interface to achieve

12、such as SPI is feasible, and it realizes better than software. SPI interface is a common standard interface, due to its simple and convenient use and save system resource , a lot of chips support this interface. SPI interface is extensively applied in EEPROM, FLASH, real-time clock, AD converter, an

13、d digital signal processor and digital signals decoder, etc.Due to the interface is a fact SPI standard, and it has no standard protocol, most manufacturers design the SPI refer to the interface definition of Motorolas reference.Because of no definite agreement, there is a lot of different versions

14、of the products in the technology exists on SPI interface, easy cause of ambiguity, some even cannot interconnect (need to do some modification). Based on a common protocols for design, and the company MC68HC11A8 microcontroller of Motorola module definition to design the SPI simplified SPI interfac

15、e, with Verilog language writing, and in the design of the software design ISE simulation, and based on the Xlinx company Digilent chip Spartan 3E - the Nexys2 development board with online logic analyzers chipscope on board, and debugging validation observations, and complete functionality has some

16、 distinctive design. Keywords:FPGA Verilog SPI protocol chipscope ISE1 绪论 在研究SPI串行外围接口之前,我们首先要对其背景及其研究的目的要有所了解。1.1课题研究背景数据传送有串行传送和并行传送两种方。并行传送以其高速度占领了数据传送领域很长一段时间,采用并行传送的集成电路、外围设备可谓不计其数,从CPU、RAM/ROM到打印机。从原理上讲,串行传输是按位传输方式,只利用一条信号线进行传输,例如:要传送一个字节(8位)数据,是按照该字节中从最高位逐位传输,直至最低位。 而并行传输是一次将所有一字节中8位信号一并传送出去。自然最少需要8根信号线。 如果按每次传送的数据流量来看,并行传输要远

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1