ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:72.29KB ,
资源ID:12209922      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/12209922.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(石经院多进制数字振幅调制系统设计.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

石经院多进制数字振幅调制系统设计.docx

1、石经院多进制数字振幅调制系统设计石家庄经济学院通信实习报告院系: 信息工程学院 学号: 409109姓名: 日期: 2013/1/15 一、实习目的1、 通过本次专业课程设计巩固并扩展通信课程的基本概念、基本理论、分析方法和仿真实现方法。2、 结合所学的MATLAB和EDA等软件仿真技术,完成通信专业相关课程内容的建模和设计仿真。到达通信专业相关理论课程有效的巩固和整合,实现将理论知识和软件设计紧密结合。3、 通过本次专业课程设计达到培养学生的创新能力、通信系统建模和仿真设计能力以及软件调试和分析能力的目的。二、实习要求1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果,对仿

2、真波形加以重点分析和说明。2、按要求格式书写报告,原理充分、设计方法及仿真结果分析正确、条理清晰、重点突出。三、实习内容(1)实习题目 多进制数字振幅调制系统设计(2)设计原理 多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。 上图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。 振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息 00、01、10、11 进行振幅调制。 已调波一般可表示为 g(t)是高度为1、宽度为TS的矩形脉冲,且有为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(

3、b)的波形。 由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。 那么,MASK信号的功率谱便是 M个二进制ASK信号功率谱之和。 因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。 所以其带宽(3)设计方法MASK信号的产生 MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。M进制振幅调制方框图 :实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了2M电平变换,相应在接收端应有M2电平变换。另外该电路的取样判决器有多个判

4、决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M2电平变换合成一个部件,它的原理类似于A D变换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。 多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。(3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。(4)多进制振幅调制不能充分利用发信机功率。MASK调制方框图:MASK调制电路符号(4)仿真结果及分析MASK调制VHDL程序与仿真 -文件名:MASK-功

5、能:基于VHDL硬件描述语言,对基带信号进行MASK调制-说明:这里MASK中的M为4library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MASK isport(clk:instd_logic; -系统时钟start:instd_logic; -开始调制信号 x:instd_logic; 基带信号 y:out std_logic_vector(7 downto 0); -8位DAC数据end MASK;architecture b

6、ehav of MASK issignal q:integer range 0 to 7; -计数器signal qq:integer range 0 to 3; -计数器signal xx:std_logic_vector(3 downto 0); -并行数据寄存器signal yy:std_logic_vector(7 downto 0); -8位DAC数据寄存器beginprocess(clk) -此进程完成基带信号的串并转换,-完成4位并行数据到8位DAC数据的译码beginif clkevent and clk=1 then if start=0 then q=0; elsif q=

7、0 then q=1;xx(3)=x; if xx(3)=1 then yy=xx&1111; -if语句完成4位并行数据到8位DAC数据转换 elsif xx(2)=1 then yy=xx&1011; elsif xx(1)=1 then yy=xx&0111; elsif xx(0)=1 then yy=xx&0011; else yy=xx&0000; end if; elsif q=2 then q=3;xx(2)=x; elsif q=4 then q=5;xx(1)=x; elsif q=6 then q=7;xx(0)=x; else q=q+1; end if;end if;

8、end process;process(clk) -对8位DAC数据进行ASK调制beginif clkevent and clk=1 then if start=0 then qq=0; elsif qq2 then qq=qq+1;y=00000000; elsif qq=2 then qq=3;y=yy; else qq=0; end if;end if;end process;end behav; (5) 结论MASK调制程序仿真图及注释 四、参考文献(1)邓勇、周择、邓斌著数字电路设计完全手册.国防工业出版社。2004;(2)朱正伟著EAD技术及应用.清华大学出版社。2005;(3)

9、全国大学生电子设计组委会著电子系统设计实践2005;(4)林明权著VHDL数字控制系统设计范例. 电子工业出版社(5)冯涛著可编程逻辑器件开发技术MAXplus入门与提高 人民邮电出版社(6)王毓银著数字电路逻辑设计 高等教育出版社(7)赵俊超著集成电路设计VHDL语言教程 北京希望出版社五、实习体会 这次课程设计与以往不同,不再是动手焊接制作一个实物,我们做的是编程。我选的题目是基于VHDL的多进制数字振幅调制系统设计。在编程之前,我们先复习EDA、数字信号处理、信息论与编码,还从网上查了大量的资料。第一次编的程序存在很在很多的错误,比如定义和文件名不一样,标点符号错误等等根据错误提示我们一个一个的修正,编译只是第一步,编译完了,仿真的时候依然出现了问题,通过又一次分析,我们终于成功的完成了。这次课程设计,我懂得了光学会了课本上的知识是远远不够的。通过三周的课程设计,我们不仅巩固了课本知识,增强了编程的能力,还为下学期的毕业设计打下了良好的基础。 六、实习效果评价指导教师评语: 实习成绩: 优 良 中 及格 不及格 指导教师签名: 年 月 日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1