ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:141.84KB ,
资源ID:12058778      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/12058778.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(交通信号灯的设计档.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

交通信号灯的设计档.docx

1、交通信号灯的设计档交通灯信号控制器设计报告【题目】 交通信号灯的控制器【设计任务与要求】(1) 设计任务设计一个主干道与支干道十字路口的交通管理系统,并用VHDL进行描述该交通管理的技术要求为:如果只有一个方向有车时,则保持该方向畅通;当两个方向都有车时,主干道与支干道交替通行,但是主干道通行的是将要比支干道通行的时间要长一些(2) 设计要求1、 当处于“主干道绿灯,支道红灯”状态:a 主干道要车要求通行,支道也有车要求通行时,若主干道通行时间大于30秒则切换到“主黄,主红”,4秒后自动切换到“主红,支绿”;b 主干道无车要求通行,支道有车要求通行时,立即切换到“主红,支绿”;c 其他情况保持

2、“主绿,支红”状态 2当处于“主干道红灯,支干道绿灯”状态:a 支道有车要求通行时,保持“主红,支绿”状态,但最多保持30秒然后主动切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态;b 支道无车要求通行时,立即切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态3 利用八位七段管码显示模块其中的2位实现时间显示4 扩展要求:要求设计(改变时间显示方式,丰富控制逻辑等)【设计原理及方案】利用状态机按照设定的条件实现“主绿,支红”“主黄,支红”“主红,支绿”“主红,支黄”四种状态之间的转换十字路口的示意图如右图所示在主干道和支干道两个方向上都安装红黄绿三色信号灯;Ca和Cb分别

3、是安装在主干道和支干道上的传感器,用于检测是否有车辆需要通过路口在只有主干道有车时,主干道亮绿灯,支干道亮红灯;当只有支干道有车时,主干道亮红灯,支干道亮绿灯;当了两个方向都有车时,两个方向轮流亮绿灯和红灯交通管理系统的结构图如下所示:设计系统主要由控制器和受控器的定时器组成定时器用来确定主干道支干道的通行时间以及公共停车时间;CNT是定时的值;LD是定时值的同步预置信号,高电平有效;ST是这个定时器的状态信号,当定时结束后,ST输出为1;Ca和Cb分别为主干道和支干道的传感器输出信号,高电平表示有车需要通过;clk是期为秒的时钟信号;reset是异 交通管理系统结构图步复位信号,低电平有效,

4、复位后的初始状态为主干道畅通;RaYaGa和RbYbGb分别为主道和支干道的红黄绿灯的控制信号,高电平有效如下图所示,该图很清楚的表明了该交通状态间的转换关系 状态转换图【电路设计与实现】设计电路由分频器计数器控制器输出系统等组成(1)分频器 电路的频率本为50MHz,但是电路中我设计需要2000Hz和1Hz的信号由1HZ分频器输出的信号,输入到控制器当中,分析路灯的情况由2000Hz输出分频器输出地信号,输入到输出端,分析其输出的情况其程序如下所示:1Hz的分频器程序library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_un

5、signed.all;entity fenpin isport( clk:in std_logic; finout:out std_logic);end fenpin;architecture bhv of fenpin is signal tmp:INTEGER RANGE 0 TO 49999999; -1HZ的分频begin process(clk)beginif clkevent and clk=1 then if tmp=49999999 then tmp=00000000; else tmp=tmp+1; end if; if tmp25000000 then finout=0;

6、else finout=1; end if;end if;end process;end bhv;对上述程序进行完编译仿真后,得到其源元件,如上图所示512Hz的分频器源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin123 isport (clk: in std_logic; clkfen: out std_logic);end fenpin123;architecture fenpin of fenpin123 issignal clk_mid: std_lo

7、gic;begin process(clk) variable data:integer range 0 to 48828;-将基准信号分频到512Hz begin if clkevent and clk=1 then if data=48828 then data:=0; clk_mid=not clk_mid; else data:=data+1; end if; end if; clkfen=clk_mid;end process;end fenpin; 对上述程序进行完编译仿真后,得到其源元件,如上图所示(2)计数器实验过程中,计数器用来计时,主干道或支干道亮绿灯时,计数30 S ,过

8、度切换带计数 4 S计数器程序如下所示:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -打开IEEE库包 ENTITY counter IS -定义实体 PORT (clk:IN STD_LOGIC; reset:in std_logic; countNum:BuFFeR INTEGER RANGE 0 TO 64);END; -实体结束语句ARCHITECTURE behavior OF counter IS -定义结构体 BEGINprocess(reset,Clk)BEGINIF Reset=1 THEN countNum=0;ELSIF risi

9、ng_edge(Clk) THEN IF countNum=64 THEN countNum=0; ELSE countNum MR=0;MY=0;MG) BR=1;BY=0;BG=0; RESET=0; IF (SB AND SM)=1 THEN -主干支干都有车请求 IF CountNum=29 THEN -30秒内主道通 Num=30-CountNum; ELSE STATE=B;RESET=1; -30秒后主黄支红 END IF; END IF; IF (SB AND(NOT SM)=1 THEN -只有支道有车请求 STATE=B;RESET MR=0;MY=1;MG) BR=1;B

10、Y=0;BG=0; RESET=0; IF CountNum=3 THEN Num=4-CountNum; ELSE STATE=C;RESET MR=1;MY=0;MG) BR=0;BY=0;BG=1; RESET=0; IF SB =1 THEN -主红支绿状态下支道有车请求 IF CountNum=29 THEN -30秒内支道通 Num=30-CountNum; ELSE STATE=D;RESET=1; -30秒后主红支黄 END IF; END IF; IF SB=0 THEN -或是支道没车请求时,立即切换到主红支黄 STATE=D;RESET MR=1;MY=0;MG) BR=

11、0;BY=1;BG=0; RESET=0; IF CountNum=3 THEN Num=4-CountNum; ELSE STATE=A;RESETSTATE=30 THENNumA=3;NumB=20 THENNumA=2;NumB=10 THENNumA=1;NumB=Numin-10;ELSE NumA=0;NumBsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutnull;END CASE; -结束循环END PROCESS; -结束进程END; -结构体结束语句LIBRARY IEEE; USE IEEE.STD

12、_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL; -打开IEEE库包 ENTITY dtsm IS -定义实体 PORT(clk:in STD_LOGIC; NumA,NumB: in STD_LOGIC_VECTOR(3 downto 0); segout1:out STD_LOGIC_VECTOR(6 downto 0); led_sel:out STD_LOGIC_VECTOR(2 downto 0); -选择控制端的定义-七段码管扫描驱动END dtsm; -实体结束语句architecture bhv of dtsm is -定义结构体

13、 component bcd_data is -为bcd_data定义端口 port (bcd_data:in STD_LOGIC_VECTOR(3 downto 0); segout:out STD_LOGIC_VECTOR(6 downto 0);end component; signal x:STD_LOGIC_VECTOR(3 downto 0); -定义信号 signal Q:STD_LOGIC;beginp1:process(clk) -p1进程语句描述开始beginif clkevent and clk =1 then -上升沿来临时: if Q=1 THEN Q=0; ELSE

14、 Qled_sel=010;xled_sel=001;xnull;end case;end process; -p1进程结束 u1:bcd_data PORT map(bcd_data=x,segout=segout1);end ; -p1进程结束对上述程序进行完编译仿真后,得到其源元件,如上图所示将上述各个源文件写完后,各自生成元件,在电路模拟区内连接电路附上输出端和输入端后,其电路如下图所示:其中电路仿真过程中,管脚对应表如下所示:信号名称对应FPGA管脚名说明50MHzL1基准时钟AG6七段数码管aBG7七段数码管bCH3七段数码管cDH4七段数码管dEH5七段数码管eFH6七段数码管f

15、GJ4七段数码管gSEL0G5数码管选择控制端0SEL1G3数码管选择控制端1SEL2F4数码管选择控制端2R1D3主干道红灯Y1D4主干道黄灯G1D5主干道绿灯R2E3支道红灯Y2E4支道黄灯G2F3支道绿灯K1E15主干道通车请求开关K2B14支道通车请求开关【分析与讨论】本次实验所用的编译环境是由 Altera 公司推出的新一代可编译逻辑器件开发系统Quartus初次使用这种软件,对这种编译环境很是陌生,不过通过慢慢练习,我学会了使用这个软件并能初步掌握了VHDL语言交通信号灯的设计这个实验原理看似很简单,但是实现过程却很复杂首先,要我实验过程分成几个模块来分步实现,其中分频器的选择非常

16、重要,不止需要选1Hz的分频器,还需要高于 512Hz的分频器,因为输出系统的信号频率至少得高于512Hz,这样信号双端输出,这样就可以比较正确的得到交替变换的模拟交通灯信号与计时信号本次试验也有很多需要注意的问题首先是程序问题,不管是写程序还是对程序的仿真,对于我这个以前从来没有接触过VHDL语言的人来说都很困难,程序是严谨的,不容许一点点的差错,不过熟练之后就好多了VHDL程序都有其一定的板块和结构,只要按照这个板块走,就可以正确的写出程序再就是Quartus的使用问题,Quartus使用起来比较繁琐,步骤很多,一不小心掉下一步就会出问题,需要对以严谨的态度【实习心得】 本次实习对我来说是

17、大学中实习中具挑战性较有趣的一次实习程序的不熟悉是整个过程的难点,然而掌握了理论知识并不代表实验操作的成功,在设计过程中仍会遇到很多困难,比如Quartus软件的熟练使用等在设计中我充分体会到了理论知识与实践相结合的难处,同时也激发了我挑战的兴趣通过本次实习加强了我们自己动手思考解决问题的能力【参考文献】(1)邓元庆贾鹏 数字电路与系统设计 2008 西安电子科技大学出版社;(2) 李哲英骆丽 数字集成电路设计 2007 机械工业出版社;(3)刘润华任旭虎 电子技术实验与课程设计 2005 石油大学出版社;(4)于云华刘润华 数字电子技术基础 2008 中国石油大学出版社;(5)沈明山 EDA技术及可编程器件应用实训 2004 科学出版社;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1