ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:287.71KB ,
资源ID:12028330      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/12028330.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(交通灯控制器 EDA课程设计实验报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

交通灯控制器 EDA课程设计实验报告.docx

1、交通灯控制器 EDA课程设计实验报告目录1课程设计要求32 电路功能描述33 设计方案34设计原理图45 VHDL语言46仿真截图67心得体会118参考文献111. 课程设计要求1.1.红、黄、绿灯分别控制显示;1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时);1.3.符合实际交通规律。2.电路功能描述本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急

2、状况解除后,重新计时并且指示时间。3.设计方案根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致 ,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通

3、行,指示红灯,紧急状态解除后,重新计时并指示时间。时间采用倒计时的方式显示。本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。其运行方式为东西红、南北绿东西红、南北黄东西绿、南北红东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。urgency为紧急控制信号,为高电平时系统转换为东西南北均是红灯亮的状态,状态结束后系统重新设置,转

4、换为东西红,南北绿的状态。4.设计的原理图 5.VHDL硬件描述语言LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic IS -定义实体PORT( clk : IN STD_LOGIC; -CLK为时钟信号(1Hz)urgency : IN STD_LOGIC; -紧急状态控制端led : BUFFER STD_LOGIC_VECTOR(5 DOWNTO 0); -红黄绿绿黄红East_West,South_North : BUFFER STD_LOGIC_VECTO

5、R(7 DOWNTO 0);END; -东西、南北倒计时数码管(高4位为十位,低4位为个位)ARCHITECTURE rtl OF traffic IS -定义结构体BEGINPROCESS(clk,urgency)BEGINIF urgency = 1 THEN -出现紧急状态led = 100001; -东西南北都亮红灯East_West = 00000000; -设置重新计时的数据South_North 00110000 or South_North 00110000) THENEast_West = 00101001; -计数错误时纠正到初始转态South_North = 001001

6、00;led = 100100; -东西红灯亮30秒,南北绿灯亮25秒ELSIF (East_West = 00000101 AND South_North = 00000000) THENEast_West = 00000100; -红、绿灯亮了25秒,绿灯将转变为黄灯South_North = 00000100;led = 100010; -东西红灯亮剩余5秒,南北黄灯亮5秒ELSIF (East_West = 00000000 AND South_North = 00000000 AND led = 100010) THEN -东西红灯30秒时间结束,南北黄灯5秒结束East_West

7、= 00100100;South_North = 00101001;led = 001001; -东西亮绿灯25秒,南北亮红灯30秒ELSIF (East_West = 00000000 AND South_North = 00000101) THENEast_West = 00000100; -东西红绿亮25秒结束,转为5秒黄灯South_North = 00000100;led = 010001; -东西黄灯亮5秒,南北红灯亮剩余5秒ELSIF (East_West = 00000000 AND South_North = 00000000 AND led = 010001) THEN -

8、东西亮黄灯5秒结束,南北亮30秒红灯结束East_West = 00101001;South_North = 00100100;led = 100100; -东西红灯30秒,南北绿灯25秒,循环ELSIF (East_West(3 DOWNTO 0) = 0 AND South_North (3 DOWNTO 0) = 0) THENEast_West = East_West - 7; -BCD码减法转换South_North = South_North - 7;ELSIF (East_West(3 DOWNTO 0) = 0 AND South_North (3 DOWNTO 0) = 0)

9、 THENEast_West = East_West - 7; -BCD码减法转换South_North = South_North - 1;ELSIF (South_North (3 DOWNTO 0) = 0 AND East_West(3 DOWNTO 0) = 0) THENSouth_North = South_North - 7; -BCD码减法转换East_West = East_West - 1;ELSE East_West = East_West - 1; -不满足上述特殊情况时减一South_North = South_North - 1;END IF;END IF;END

10、 PROCESS;END;6.仿真截图6.1VHDL源程序仿真创建VHDL语言的编程窗口,并进行保存 程序截图:对源程序进行编译,为出现错误。6.1.2创建波形文件并进行仿真导入,将仿真的结束时间定为65s,进行仿真;(1)、东西红,南北绿:(2)、东西红,南北黄(3)东西绿,南北红7.心得体会通过这次的课程设计,加深了我对EDA技术这么课程的理解和认识,同时也让我对其有了更加熟练的运用。之前对VHDL的了解仅局限于课本上的些许知识,而没有深入体会,缺乏实践经验。这次的课程设计是很有意义的。看到设计题目之后,我意识到自己对VHDL语言的掌握程度远远不够,开始查阅VHDL教程,寻找教程上的相似问题。最先做的是对设计进行模块的划分。在这个过程中,我也遇到了很多的问题,刚开始,没有找对方向,浪费了很多的时间。慢慢的,在查阅了相关的书籍之后,我找到了设计思路,确定了其由两个模块构成的思路。在对各个模块进行仿真的时候,遇到了不少困难,各个模块的连接以及信号的定义老是出现错误,经过反复修改才成功。 8.参考文献1 潘松 黄继业EDA技术实用教程VHDL版(第4版)科学出版社,2010

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1