ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:64.63KB ,
资源ID:11942758      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11942758.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA16x16点阵滚动+动画显示范本模板.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA16x16点阵滚动+动画显示范本模板.docx

1、EDA16x16点阵滚动+动画显示范本模板四、课程设计的总体步骤1、单个字符的显示(如:黄):library ieee;use ieee。std_logic_1164。all;use ieee。std_logic_unsigned。all;entity xianshi isport( clk2:in std_logic; rck,sck:out std_logic; si:out std_logic);end ;architecture one of xianshi issignal sel:std_logic_vector(3 downto 0);signal q: std_logic_ve

2、ctor(31 downto 0);signal i:integer range 0 to 31;signal coi:std_logic;begin process(sel,clk1)begincase sel is when”0000”=qq=”00000100000000011011111111111111; when”0010”=qqq=”00100101010100101111011111111111; when”0101”=q=”11111101010101001111101111111111”; when”0110”=qq=”001001111111000011111110111

3、11111”; when1000=q=00100101010100001111111101111111; when”1001”=q=11111101010101001111111110111111; when1010”=q=00100101010101001111111111011111”; when”1011=q=01100111111100101111111111101111”; when1100”=qqq=”00000100000000001111111111111101”; when”1111”=q=00000000000000001111111111111110”; when oth

4、ers =null; end case; if clk1event and clk1=1then si=q(i);i=i+1;coi=0; if i=31 then i=0;coi=1;sel=sel+1; end if; rck=not coi; end if;end process;sck=clk1;end ;时序仿真:从图中可以看出,当clk1在第32个上升沿rck变为低电频,sck与clk1是同一电频,故此程序满足要求。 2、多个字符的跳动显示(如:黄小红):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsi

5、gned.all;entity xianshi isport( clk1:in std_logic; clk2:in std_logic; rck,sck:out std_logic; si:out std_logic);end ;architecture one of xianshi issignal lie:std_logic_vector(3 downto 0);signal sel:std_logic_vector(3 downto 0);signal q: std_logic_vector(31 downto 0);signal i:integer range 0 to 31;sig

6、nal coi:std_logic;begin process(sel,clk1,clk2)beginif clk2event and clk2=1 then lie case sel is when”0000=q=”00000100000000000111111111111111; when0001=q=00000100000000011011111111111111; when0010=q=”00100100000000011101111111111111”; when”0011=q=”00100101111110101110111111111111; when”0100”=q=”0010

7、0101010100101111011111111111”; when”0101”=q=”11111101010101001111101111111111; when”0110”=q=”00100101010100001111110111111111; when”0111”=qqq=”00100101010101001111111111011111; when”1011”=q=”01100111111100101111111111101111; when”1100=qq=00000100000000001111111111111101”; when”1111=qq=00000000000000

8、000111111111111111”; when0001”=qq=”00000000001000001101111111111111; when0011”=q=”00000000010000001110111111111111”; when”0100=qqqqq=”00000000000000001111111101111111”; when1001”=q=00000100000000001111111110111111; when”1010=q=00000010000000001111111111011111; when1011=q=”000000010000000011111111111

9、01111”; when1100=q=00000000100000001111111111110111; when”1101”=q=00000000011000001111111111111011”; when”1110”=qq=00000100010001000111111111111111; when0001”=q=”00001100111001101011111111111111; when0010”=q=”00110101010001001101111111111111; when”0011=q=11000110010010001110111111111111; when”0100=q

10、qqq=00100000000000101111111011111111”; when1000=q=”00100000000000101111111101111111”; when1001”=qqqq=00100000000001101111111111111011”; when1110=q=00000000000000101111111111111101; when”1111=qnull; end case; if clk1event and clk1=1then si=q(i);i=i+1;coi=0; if i=31 then i=0;coi=1;sel=sel+1; end if; r

11、ck=not coi; end if;end process;sck=clk1;end ; 时序仿真:从上图看出,当clk1在第32个上升沿rck变为低电频,sck与clk1是同一电频,故此程序满足要求。3、汉字的滚动和动画显示(如:黄小红+笑脸):library ieee;use ieee.std_logic_1164。all;use ieee.std_logic_unsigned。all;entity xianshi isport( clk1:in std_logic; clk2:in std_logic; rck,sck:out std_logic; si:out std_logic);

12、end ;architecture one of xianshi issignal lie:std_logic_vector(3 downto 0);signal sel:std_logic_vector(3 downto 0);signal q: std_logic_vector(31 downto 0);signal i:integer range 0 to 31;signal coi:std_logic;begin process(sel,clk1,clk2)beginif clk2event and clk2=1 then lieqq=”001001000000000111011111

13、11111111; when”0011=q=”00100101111110101110111111111111”; when”0100=qqq=”00100111111100001111111011111111; when”1000=q=00100101010100001111111101111111; when1001=q=”11111101010101001111111110111111; when1010”=qq=”01100111111100101111111111101111”; when”1100”=qqq=”00000100000000001111111111111101”; w

14、hen”1111”=q=”00000000000000001111111111111110; when others =null; end case; when0001”= case sel is when”0000”=qqq=”11111101010101001111101111111111”; when”0011=q=”00100101010100001111110111111111”; when”0100”=qq=”00100101010100001111111101111111”; when”0110=q=”11111101010101001111111110111111”; when

15、”0111=qq=00100100100000101111111111110111; when”1010=q=”00001100000000011111111111111011; when1011=qq=00000000000000001111111111111110; when”1101”=q=”00000000000000000111111111111111; when1110”=qq=00100101010100001111110111111111”; when”0001=qqq=”01100111111100101111111111101111; when0110=q=”0010010

16、0100000101111111111110111; when”0111=qqqq=00000000001000001101111111111111; when1101”=qq=00000001100000001111011111111111”; when1111=qnull; end case; when0011= case sel is when0000”=q=11111101010101001111111110111111”; when”0001=q=”00100101010101001111111111011111”; when0010=q=”011001111111001011111

17、11111101111”; when0011”=q=”00100100100000101111111111110111”; when”0100”=qqqq=”00000000000000000111111111111111”; when1000=q=”00000000000100001011111111111111”; when1001”=qq=00000000010000001110111111111111; when1011”=qq case sel is when”0000=q=”00100100100000101111111111110111”; when0001”=qqq=”00000000000100001011111111111111”; when”0110”=qq=00000000010000001110111111111111; when1000”=q=”00000001100000001111011111111111; when”1001=qq=”00000000000000011111110111111111; when”1011”=q=11111111111111101111111011111111; whe

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1