ImageVerifierCode 换一换
格式:DOCX , 页数:32 ,大小:2.91MB ,
资源ID:11936486      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11936486.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(NC安装傻瓜教程.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

NC安装傻瓜教程.docx

1、NC安装傻瓜教程NC安装傻瓜教程项目名称通用版本5.02作者刘猛邮件Liumeng日期2008年5月用友软件股份有限公司1、 安装数据库 31.1. 安装ORACLE 31.2. 建立数据表空间及用户名 62、 安装代码 83、 Ncsysconfig配置(用友中间件) 113.1. 启动配置程序 113.2. 建立数据源 113.3. 设置服务器端口 133.4. 生成EJB 143.5. 设置邮件服务器 153.6. 设置webservers 153.7. 设置客户端自动注销时间 164、 建立账套 164.1. 启动中间件 164.2. 新建账套 175、 报表系统 195.1. 新增O

2、RACLE用户 195.2. 建立数据源 205.3. 账套初始化 206、 导出数据 217、 导入数据 228、 删除ORACLE用户 22安装数据库1.1.安装ORACLE1.2.建立数据表空间及用户名将如下脚本数据导入oracle中-CREATE TABLESPACE NNC_DATA01 DATAFILE E:oradataorclnnc_data01.dbf SIZE 100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ; CREATE TABLESPACE NNC_DATA02 DATAFIL

3、E E:oradataorclnnc_data02.dbf SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ; CREATE TABLESPACE NNC_DATA03 DATAFILE E:oradataorclnnc_data03.dbf SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 512K ;CREATE TABLESPACE NNC_INDEX01 DATAFILE E:oradataorc

4、lnnc_index01.dbf SIZE 100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ; CREATE TABLESPACE NNC_INDEX02 DATAFILE E:oradataorclnnc_index02.dbf SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ; CREATE TABLESPACE NNC_INDEX03 DATAFILE E:oradataorclnnc_index0

5、3.dbf SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ; CREATE USER yzjnc IDENTIFIED BY yzjnc DEFAULT TABLESPACE NNC_DATA01 TEMPORARY TABLESPACE temp;GRANT connect,dba to fubin;导入方法如下:打开SQL Plus工具。此处的口令就是在安装ORACLE第一步中要求记住的口令。口令输入正确后,即可进入到此页面。将脚本复制后,粘贴到SQL*PLUS对话框中表空间和ORAC

6、LE用户创建成功后,此界面直接关掉即可。1.3.建立服务使用前面安装Oracle时设置的全局数据库名。1、安装代码进入相应的安装模块,点击setup程序即可进入下面的安装界面。“启用IBM Websphere应用服务器”是用于IBM中间件的安装,如果是测试系统,这里一般不要勾选,使用用友自带的中间件即可。2、Ncsysconfig配置(用友中间件)2.3.3.1.启动配置程序运行代码安装目录中bin文件夹中的ncsysconfig。3.2.建立数据源点击读取。点击添加。数据库类型:对应安装的ORACLE版本。数据源名称:建议以项目简称命名。数据源OID标识:任意录入。录入完毕后点击确定。点击保

7、存。点击测试后,如果弹出“测试通过”的对话框则说明设置成功。如果测试不通过,请首先确认ORACLE的服务已经打开。3.3.设置服务器端口点击读取。3.4.生成EJB点击“Select All”以后,运行“Deploy”。注意:当有新的模块代码安装以后,都要进入此界面执行此操作。3.5.设置邮件服务器POP3和SMTP服务器的配置与outlook是一样的,Password是邮箱的口令。3.6.设置webservers端口号要设置为与前面的服务器端口一致。3.7.改变系统登录方式修改以后,就需要先输入用户名和密码后,才出现选择公式的界面。3.8.设置客户端自动注销时间为了及时释放服务器资源的占用,

8、以及用户离开电脑后的系统安全考虑,可能会用到此功能。如果不想实现客户端自动注销的功能,则注销时间输入“0”。3、建立账套4.4.1.启动中间件 运行安装路径中的startup,启动中间件。当出现类似上面的提示信息以后,表示中间件启动成功,该界面不能关闭。4.2.新建账套打开IE浏览器,在地址中录入服务器IP地址后,在登录画面中点击进入“ERP-NC”。双击左边框的账套管理,然后点击增加。这个界面最重要的是数据源的选择,相关信息录入完毕后,点击保存。此安装过程耗时较长,须有耐心。安装完毕后,点击完成。4、报表系统5.5.1.新增ORACLE用户新建一个用户用于存放报表系统数据,如果希望报表数据与

9、NC数据存放在同一个用户名下面,则不用新增用户。将以下脚本导入SQL*PLUSCREATE USER NCIUFO IDENTIFIED BY NCIUFO DEFAULT TABLESPACE NNC_DATA01 TEMPORARY TABLESPACE temp; GRANT connect,dba to NCIUFO;5.2.建立数据源添加数据源IUFO时,如果想让报表数据与NC数据放在一起的话,则该界面的用户名和密码与NC数据源的相同即可。5.3.账套初始化重新启动中间件。打开IE浏览器,在地址中录入服务器IP地址后,在登录画面中点击进入“IUFO”。在NC系统登录界面选择IUFO模

10、块,第一次进入时会弹出如下界面。设置根单位名称和超级管理员。5、导出数据运行“cmd”,进入希望导出数据存放的路径,如D盘根目录。Nc50/nc50是登录的用户名及密码。Exp20071106.dmp是自行设定的导出数据的文件名。Owner如果不输入,则默认导出前面登录的用户名下面的数据。使用逗号分开用户名,能够一次导出多个用户名下面的数据,适用于报表数据与NC数据分开存放的情况。Log是日志文件,记录导出的过程。6、导入数据如果fromuser与touser相同,则可省略不录入。导入成功以后,再为新导入的数据建立数据源,只要执行到测试通过即可。7、删除ORACLE用户如果该页面无法打开,请检查系统服务中的oracledbconsoleorcl是否启动。见下图。 (注:可编辑下载,若有不当之处,请指正,谢谢!)

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1