ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:825.56KB ,
资源ID:11826828      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11826828.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(实验二D触发器的设计和仿真.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

实验二D触发器的设计和仿真.docx

1、实验二D触发器的设计和仿真 实 验 报 告课程名称集成电路CAD实验项目名称基本门电路的设计、仿真与版图实验类型综合性实验实验学时4班级学号姓名指导教师实验室名称微电子实验室实验时间实验成绩预习部分实验过程表现实验报告部分总成绩教师签字日期哈尔滨工程大学教务处 制实验二 D触发器的设计和仿真一、实验目的1、学习模拟数字电路单元的基本设计方法。2、学习Cadence工具下电路设计的基本操作和方法。3、学习Sprectre工具的仿真操作方法。二、实验内容本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证

2、;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。三、实验步骤1、登陆到UNIX系统。在登陆界面,输入用户名和密码,用户名和密码都为学生学号。2、Cadence的启动。启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。3、原理图的输入。(1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。(2)添加器件。在comparator schematic窗口点击Ad

3、d-Instance或者直接点i,就可以选择所需的器件。(3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。(4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。(5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。(6)添加电源信号。选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。(6)保存并检查。点击schematic窗

4、口上的Check and Save按钮,察看是否有警告或者错误。如果有,察看CIW窗口的提示。4、电路仿真。(1)启动模拟仿真环境。在comparator schematic窗口,选择Tools-Analog Environment,弹出模拟仿真环境界面。(2)选择仿真器。在仿真窗口,选择Setup-Simulator/Directory/Host,设置仿真器为spectre,然后点击OK。(3)设置模型库。(4)设置分析类型。在仿真窗口,点击Choose Analyses按钮,弹出Choose Analyses窗口,该窗口中列出了各种仿真类型,在本实验中只需进行瞬态仿真,所以选择tran,时

5、间设为3um。(5)设置设计变量,在仿真窗口中,点击Edit Variables 按钮,弹出变量设置窗口,点击Copy From按钮,整个设计本扫描一遍,设计中的各个变量被列出来。(6)创建网表。选择Simulation-Netlist-Create,产生了该设计的网表。(7)设置波形显示工具。Cadence中有两种波形显示工具:AWD和wavescane,在仿真窗口选择Session-assign,在弹出的窗口中可以选择波形显示工具为AWD或wavescane。(8)选择输出结果显示信号。(9)进行仿真。选择Simulation-Run,或者直接点击仿真窗口右下角的Run按钮来启动仿真,仿真

6、开始过程中,在CIW窗口会出现一系列仿真信息,另外会弹出一个Spectre输出窗口。仿真结束会自动弹出波形显示窗口。 (10)观察波形,看是否满足设计要求。重复以上步骤完成反相器、传输门、与非门和D触发器电路的设计和仿真。四、实验结果 1.首先从最基本的非门电路做起,主要由一个pmos管与nmos管组成。下面分别是其电路图、符号图、仿真图。 (1).反相器电路图(2).反相器符号图(3).反相器化简符号图(3).反相器仿真图2.做的第二个电路是与非门电路,它的构造相对复杂一点,是由两个pmos管与两个nmos管组成,下面是它的电路图、符号图、仿真图。(1).与非门电路图(2).与非门符号图(3

7、).与非门仿真图3.做的第三个电路图是传输们电路,传输门(TG)就是一种传输模拟信号的模拟开关。CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,下面是它的电路图、符号图。(1).传输门电路图(2).传输们符号图4. D触发器电路的构造比较复杂,它是非门、与非门、传输门的综合应用,D触发器该触发器由6个与非门组成,D触发器也称为维持-阻塞边沿D触发器。下面是它的电路图、符号图、仿真图、版图。(1).D触发器电路图(2).仿真结果(3).D触发器的子电路版图(4). 完整D触发器的版图五、实验结果分析1.非门电路:从仿真结果来看,给予电路一定的信号后电路的输入与输出结果是相反的,

8、当输入为1时输出为0,输入为0时输出为1.虽然波形有一些失真,但不影响实验结果。非门的真值表输入A输出Y01102.与非门电路:对与非门电路进行仿真操作,由波形可以看到,两端的输入都为1,或者有一端为1时,输出信号为0,当两端输入都为0时输出的结果为1. 与非门可以看作是与门和非门的叠加。与非门真值表ABY001011101110逻辑表达式:Y=(AB)=A+B3. 传输门:MOSFET的输出特性在原点附近呈线性对称关系,因而它们常用作模拟开关。在数字逻辑电路设计中,传输门左端为输入,右端为输出,上端C反、下端C为控制端,当C反为0,C为1时TG门开通,此时右端输出out=左端输入in。4.D

9、触发器:D触发器该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。边沿D触发器也称为维持-阻塞边沿D触发器 。 功能表DCLKQQN0时钟边沿011时钟边沿100last Qlast QN1last Qlast QN方程六.实验心得 通过对电路的设计、仿真、画版图,我对Cadence的原理跟应用有了一个更加深从此的了解。提高了自主解决问题的能力,对微电子这个行业更加熟悉与热爱。也非常感谢老师的无私帮助。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1