ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:264.54KB ,
资源ID:11522969      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11522969.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(最新组合逻辑课程设计4位二进制全加器全减器原创.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

最新组合逻辑课程设计4位二进制全加器全减器原创.docx

1、最新组合逻辑课程设计4位二进制全加器全减器原创组合逻辑课程设计4位二进制全加器全减器原创组合逻辑电路课程设计4位二进制全加器/全减器作者: 学号: 课程设计题目要求:1)使用74LS283构成4位二进制全加/全减器。2)阐述设计思路。3)列出真值表。4)画出设计的逻辑图。5)用VHDL对所画电路进行仿真。摘要 加法器是数字系统中产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。例如:为了节省资源,减法器和硬件乘法器都可以用加法器来构成。但宽位加法器的设计是很耗资源的,因此在实际的设计和相关饿得设计与开发中需要

2、注意资源的利用率和进位速度两方面的问题,多位加法器的构成主要有两种:并行进位和串行进位。并行进位加法器设有并行进位产生逻辑,运行速度比串行进位快;串行进位是将全加器采取并行级联或菊花链式级联构成多位加法器。加法器也是常用作计算机逻辑部件,执行逻辑操作、移位与调用。此外还可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。本文将采用4位二进制并行加法器作为折中选择,所选加法器为74LS283,74LS283是4位二进制先行进位的加法器,它只用了几级逻辑来形成和及进位输出,故由其构成4位二进制全加器;而四位全减器可以用加法器简单的改造而来,最后本文采用 VHDL对四位全加器/全

3、减器进行仿真。关键字74LS283全加器、四位二进制、迭代电路、并行进位、串行进位、VHDL 1总电路设计1.1硬件电路的设计 该4位二进制全加器以74LS283为核心,74LS283芯片引脚图如下图,本文采用先行进位方式,极大地提高了电路运行速度,下面是对4位全加器电路设计的具体分析。 图1.1 74LS283芯片引脚图1.2全加器(full-adder )全加器是针对超过一位的操作数相加,必须提供位与位之间的进位而设计的一种加法器,具有广泛而重要的应用。它除了有加数位X和Y,还有来自低位的进位Cin和输出S与给高位的进位Cout, 具体满足下面等式: 其中,如果有奇数个1,则S为1;如果输

4、入有2个或2个以上的1,则Cout为1。全加器的功能表如下:表1.2.1全加器的功能表输 入输 出输 入输 出Cin A B S CoutCI A B S Cout0 0 00 0 10 1 00 1 10 01 01 00 11 0 01 0 11 1 01 1 11 00 10 11 1逻辑表达式: 实现全加器的电路图如下:图1.2. 1全加器等式电路图 图1.2.2全加器简化模型图 1.2.1四位二级制加法器1.2.1.1串行进位加法器四位二进制加法器为4个全加器的级联,每个处理一位。最低有效位的进位输入通常置为0,每个全加器的进位输出连到高一位全加器的进位输入。图1.1.2.1.1四位

5、二进制加法器实现流程图输入InputA3A2A1A0加数输入B3B2B1B0加数输入C0进位输入Cin输出OutputS3S2S1S0和数输入C4进位输出Cout1.2.1.2超前进位加法器为了提高运算速度,必须设法减小或消除由于进位信号逐级传递所消耗的时间,于是制成了超前进位加法器。优点:与串行进位加法器相比,(特别是位数比较大的时候)超前进位加法器的延迟时间大大缩短了。但是它的缺点就是电路比较复杂。1.2.1.3超前位链结构加法器 =AB+令 产生进位产生传输信号,四位全加器的进位链逻辑可以表示为如下:+1.3全减器(full-substracter )全减器有两种构造方法:1.全减器处理

6、二进制算法的一位,其输入位为X(被减数),Y(减数)和Bin(借位输入),其输入位为D(差)和Bout(借位输入),根据二进制减法表,可以写出如下等式:这些等式非常类似于全加器中的等式,但不足为奇。所以我们可以按照全加器的构造思路来构造全加器。2.根据二进制补码的减法运算,X-Y可以通过加法操作来完成,也就是说,可以通过把Y的二进制补码加到X上来完成。Y的二进制补码等于Y+1。其中Y等于Y的各个位取反。所以得出下式:即全减器可以通过全加器来实现。其逻辑图如下图:图1.3.1全减器/全加器设计逻辑图1.4总电路设计图1.4全加器全减器总电路设计2设计思路2.1全加器由上面对加法器的具体分析, 我

7、们分别假定两个4位二进制数分别为A3A2A1A0、B3B2B1B0,利用Verilog HDL软件进行仿真,每个数位上的数值1、0用开关的高低电平表示,当开关打到红色点上时表示该位数值为1,反之如果打到蓝色点上时为0,输出的四位二进制用S3S2S1S0表示,当输出的各位上亮红灯了该位输出为1,如果为蓝色则表示为0,Cout进位输入端,C4为进位输出端,以此进行仿真。2.2全减器首先将74LS283的B口的四个输入按1.3.1作优化,添加一个选择端select。通过该选择端来控制做加法还是做减法运算。做减法运算时选择端select=1,各个与非门的输出与输入相反,达到了取反的目的,此时Cin=1

8、,从而实现了减法器的功能。做加法运算时选择端select=0,各个与非门的输出与输入相同,达到了保持不变的目的,此时时Cin=外部输入,从而实现了加法功能。3真值表根据上面对加法器的具体分析,下面给出的是4位二进制全加器的部分真值表: 表3.1 4位二进制全加器真值表A3A2A1A0B3B2B1B0CinS3S2S1S0Cout000000000000000000000010001000010001000100001000010001100011000110101000110010001010010000001010100010001000100001110000110000010100001

9、0110010001000000001100010001000111001001101100010010100011010100001100111001000011011111010101001000111101010011010011000100100001110001001100101.表3.2 4位二进制全减器真值表A3A2A1A0B3B2B1B0CinS3S2S1S0Cout00000000000000000000001000100001000100010000100001000110001100011010100011001000101001000000101010001000100

10、01000011100001100000101000010110010001000000001100010001000111001001101100010010100011010100001100111001000011011111010101001000111101010011010011000100100001110001001100101.4逻辑图与仿真下面是74LS283四位二进制全加器的逻辑电路图:图4.174LS283四位二进制全加器的逻辑电路图图4.1图4.2图4.3图4.45软件程序的设计采用Verilog HDL语言对设计的4位二进制全加器进行仿真,下面是具体Verilog

11、HDL 程序:第一步:建立一个半加器的VHD程序。Hadd_v.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hadd_v isport(a,b: in std_logic;s,c: out std_logic);end hadd_v;architecture a of hadd_v issignal temp: std_logic_vector(1 downto 0);begintemp=(0&A)+B;s=temp(0);c=temp(1);end a;编译通过第二步:建

12、立一个全加器的VHD程序,fadd_v.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fadd_v isport(a,b,ci: in std_logic;s,co : out std_logic);end fadd_v;architecture a of fadd_v issignal temp : std_logic_vector(1 downto 0);begintemp=(0&a)+b+ci;s=temp(0);coA(0),b=B(0),c=N1); h1:fadd

13、_v port map(a=A(1),b=B(1),s=S(1),co=N2); h2:fadd_v port map(a=A(2),b=B(2), s=S(2),co=N3); h3:fadd_v port map(a=A(3),b=B(3), s=S(3),co=cout);end x;结束。6结果分析与总结由上图可以看出仿真结果与实际的运算结果是相同的。由仿真程序结果可知,设计的程序完成了四位全加器的功能,因此,该程序正确。首先感谢老师的严谨教学与悉心指导。通过本次课程设计,我加深了对所学知识的理解,并对某些知识进行很好地应用,如:全加器、74LS283等。同时完成了74LS283构成4位二进制全加器的电路硬件设计和VHDL仿真,完成课程设计的过程中也更加强化了自己查阅资料的能力,这有助于提高我们的自学能力,整个过程中我们还有请教其他同学。总之,本次课程设计加深了我对数字逻辑设计这门课的理解,更加激发了我的对数字逻辑设计这门课的兴趣,有利于我们后续课程的学习。参考文献:数字逻辑设计及应用,姜书艳/主编,电子科技大学出版社参考网址:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1