ImageVerifierCode 换一换
格式:DOCX , 页数:89 ,大小:898.13KB ,
资源ID:11501651      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11501651.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的16QAM调制解调电路设计毕业设计论文.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的16QAM调制解调电路设计毕业设计论文.docx

1、基于FPGA的16QAM调制解调电路设计毕业设计论文毕业设计(论文)设计(论文)题目:基于FPGA的16QAM调制解调电路设计摘 要正交振幅调制(QAM)技术有着非常广泛的应用范围,不仅在移动通信领域应用,而且在有线电视传输、数字视频广播卫星通信(DVB-S)等领域也都得到广泛应用。它在调制过程中利用了相位和幅度两维空间资源,比只利用单一维度空间资源的PSK和ASK调制方式频谱利用率高,不仅如此,QAM的星座点比PSK的星座点更分散,星座点之间的距离因此更大,所以能提供更好的传输性能。矚慫润厲钐瘗睞枥庑赖。本文在对QAM调制解调的基本原理、调制端的基带成形理论研究的基础上,通过Matlab软件

2、的Simulink仿真平台实现了 16QAM调制解调系统的建立及实验验证。之后利用Alera公司的Quartus II软件加载ModelSim作为软件开发环境,设计并实现了16QAM调制解调系统的串并转换、差分和星座映射、DDS和加法器等关键模块。关于载波信号发生器的设计,本文釆取传统DDS采用的正弦查表来实现DDS中相位幅度的转换。 聞創沟燴鐺險爱氇谴净。本文主要研究了基于FPGA的16QAM调制与解调的实现。釆用Verilog硬件描述语言对16QAM调制解调系统中的关键模块进行描述,完成了功能上的仿真验证,通过对比验证了设计的正确性,为下一步的硬件实现打下了很好的基础。说明了QAM调制和解

3、调的原理,然后对各系统组成模块分析与仿真之后提出基于FPGA的16QAM调制与解调的总体设计方案。最后用Verilog语言编写程序完成了整个系统的仿真,并对编好的程序其进行了编译调试。首先对16QAM调制解调总体进行了系统仿真;然后用Verilog语言在Quartus II软件平台下完成了系统各功能模块的编写、功能与时序仿真和综合,最后把各模块组成的顶层原理图编译成的程序下载到EPMC20T100C5芯片上,手动输入基带信号,经过芯片处理后,基带信号得以有效恢复。残骛楼諍锩瀨濟溆塹籟。【关键词】正交振幅调制 FPGA 调制解调 现场可编程逻辑门阵列 ABSTRACTQAM(Quadrature

4、 Amplitude Modulation) is a new modulation technique, because of the use of phase and amplitude two-dimensional space resources in the modulation process, it has a higher efficiency than PSK and ASK modulation witch use only a single dimension of space resources. Moreover, the QAM constellation poin

5、ts of PSK constellation points more dispersed, the distance between the constellation points is therefore, so it is able to provide better transmission performance. With the rise of third generation mobile communication and the transmission capacity increases, M-ary quadrature amplitude modulation o

6、f MQAM (Multiple quadrature the Amplitude Modulation) will be more widely used. This paper studies the realization of FPGA-based 16QAM modulation and demodulation. Firstly, the principle of QAM modulation is presented, and the modeling of the 16QAM modulation&demodulation system is built with the Sy

7、stemView software. 酽锕极額閉镇桧猪訣锥。Then, by way of analyzing system composition modules and partial simulation, the design of the l6QAM modulation system based on FPGA is put forwards. Finally the whole system simulation is realized with Verilog, And programmed to compile debug. In this paper, the princi

8、ple and design method of carrier recovery, quadrature coherent demodulator, FIR low pass filter and sampling and decision are detailedly introduced. 彈贸摄尔霁毙攬砖卤庑。Firstly, The system of 16QAM is simulated with SystemView. Then, each functional module is implemented with Verilog HDL on the Quartus II so

9、fiware flat, and the function&timing simulation and the synthesis are finished. Finally, the program compiled from the top schematic diagram is downloaded to the EP2C35F672C6N chip and when manually entered the baseband signal, the signal can be effectively recovered.謀荞抟箧飆鐸怼类蒋薔。【Key words】Quadrature

10、 amplitude modulation FPGA modulation demodulation field programmable logic gate array 厦礴恳蹒骈時盡继價骚。前 言在许多领域现场可编程门阵列(FPGA)芯片都有广泛的应用,尤其是在数字通信领域当中,FPGA极强的实时性和并行处理能力能够完成对信号的实时处理。传统的观点通常认为在大规模的数字系统开发中应用FPGA功耗过大且过于昂贵,一般用来创建原型比较好。现在,DSP在成本和功耗上都己经被FPGA超越了。例如Xilinx公司的Spartan-3A DSP系列, 它移入了高端Virtex 5系列的DSP性能,而

11、卖价最高才20美分。而TI公司的 C64X系列和AD公司的Blackfm系列处理器,其价格一般都在530美分之间。从根本上讲DSP只是适合于串行算法,通常多处理器系统是非常昂贵的, 而且也仅仅只适合粗粒度的并行运算;但是FPGA可以在片内实现细粒度从而完 成高度并行的运算。DSP和FPGA两者各有所长,实现时,一般都是配合使用, 通常是利用FPGA的可重配置和高并行度作FIR、FFT等的协处理器,而用DSP 作主处理器。茕桢广鳓鯡选块网羈泪。新的趋势己经表明,再与主流DSP的竞争当中,FPGA已经能够不用受到价格的约束。此外,FPGA拥有比DSP更加强大的计算能力。例如,20美分的Sparta

12、n-3 ADSP性能可高达每秒200亿条乘法累加操作(GMACs),同样单价30 美分的600MHz C64x DSP,其每秒的累加操作仅仅是25亿条,前者在性能上比 后者高了一个数量级。数字通信系统中有许多关键技术,如软件无线电、CDMA技术、多用户检 测等技术都需要依靠髙性能、高速的并行处理器来实现。随着近些年来这些应用 的日益多样化,FPGA已经演变成了构件内核,而不再是传统意义上的一块独立芯片。现在,已经可以把FPGA和DSP核集成在一起,FPGA芯片在一些具体方 面的应用也随之得到了极大地推动,如用于基带调制解调、实现语音合成、系统 控制以及纠错编码等功能;用来实现定时的恢复、基带调

13、制解调功能、频率控制和自动增益、脉冲整形、符号检测和匹配滤波器等。特别是应用在调制解调器中,需要大量的复杂数学运算,同时对调制解调器的重量、功耗和大小都特别关注, 这就对FPGA提出了更高的要求,随着FPGA速度的提高调制解调器的速度也不断提高。FPGA在数字通信领域的应用,极大地推动了SOC的发展,同时也让现代 通信系统的性能得到了大大的改善。对于当今的数字通信设备,一片FPGA就己经具备了系统级的处理能力。比如,在现在的第三代无线通信中,单片FPGA不仅能完成信道和信源方面的物理层处理操作,同时还能对高层信令进行处理和控制,而对信令的操作则更多是通过FPGA当中内嵌的软核CPU和硬核CPU

14、来完成的。随着无线通信的带宽更多的向CDMA等标准进行转移,以及高速数据传送网络对XDSL的要求越来越高,基于内嵌CPU/DSP的FPGA SOC将有更为广阔的应用发展前途。今后高速DSP应用技术必将是以系统芯片为核心,而且信息处理速度将突破每秒十亿次乘加运算,所以唯有FPGA芯片才能担当此重任。基于FPGA的嵌入式系统不仅具有单片机嵌入式系统和其他微处理器所不具备的技术特性及优点,而且可以通过利用并行算法操作使其具备更高速的数字信号处理能力,从而为系统实时性的实现提供了更为有力的支持。鹅娅尽損鹌惨歷茏鴛賴。第一章 概 述第一节 课题研究背景及意义现代社会移动用户数量的不断增加,传统通信系统的

15、容量越来越不能满足通信的要求而可用频带资源有限,同时不能靠无限增加频道数目来解决系统容量问题。此外语音、图像、音乐等信源直接转换而得到的电信号频谱比较低,其频谱特点是低通频谱,有些包括直流分量也有些可能不包含,其最高频率和最低频率的比值一般都比较大,比如语音信号的频谱范围大概为三百到三千赫兹,这种信号被称为基带信号。为了使基带信号能够在频带信道上进行传输,比如无线信道, 同时也为了能够同时传输多路基带信号,就需要采用调制和解调的技术。调制解调研究的主要内容包括:调制的原理、解调的原理、已调信号的产生方法、解调的实现方法等。调制是指为了适应倍道传输的要求,把基带信号的频谱搬移到一定的频带范围。对

16、基带信号进行调制的目的主要有:进行频率分配、减少噪声和干扰的影响、实现多路复用和克服设备的限制等。籟丛妈羥为贍偾蛏练淨。第二节 QAM技术现状与发展以前的通信系统为模拟通信系统,所以调制技术是由模拟信号的调制与解调技术最初开始发展的。后来数字通信系统得到了迅速的发展,随之而来的是数字调制技术的广泛应用和迅速发展。随着现在日益增多的各种通信系统数量,为了更好的充分利用紧张的频谱资源,广大通信科研工作者致力于研究频谱利用率更高的新型数字调制方式,而且原CCITT (国际电报电话咨询委员会)也一直在促进并鼓励开发新奇的频谱使用技术,为使各种通信系统能够有效的进行通信,原CCITT科学地将频段分别分配

17、给各个通信系统,因而许多科研院所,用户个体和通信公司都在通过开发先进的调制技术用以提高频谱利用率提高频谱利用率是人们设计和规划通信系统的关注焦点之一,同时也是提高通信系统容量的重要措施。频谱利用率越高,就要求已调信号所占的带宽要越窄。在数字调制系统中的频谱利用率主要是指传输的效率问题。如果系统的频带利用率高,就表明通信系统具有较高的传输效率,反之传输效率就低。从上面对频谱利用率的定义可以发现,要使得通信系统的频谱利用率有所提高主要可以两种途径:一是通过提高该调制系统的传信率即信息传输速率,二是降低己调信号所占用的频带宽度。預頌圣鉉儐歲龈讶骅籴。振幅和相位联合调制技术作为本课题的研究对象,就是一

18、种近些年来获得了飞速发展的调制技术,该技术就具有极高的信息传输速。正交幅度调制是一种振幅与相位相结合的高阶调制方式,具有较高的频带利用率和较好的功率利用率,现如今已在中、大容量数字微波通信系统、卫星通信等领域中得到广泛运用。随着第三代移动通信系统的日趋成熟,频谱利用率较高的QPSK及QAM调制解调方式都会得到更多的采用。尤其是多进制QAM(16QAM),由于其频带利用率高,在通信业务日益增多使得频带利用率成为主要矛盾的情况下,正交幅度调制方式是一种比较好的选择。除了要解决提高语音服务质量问题,第三代移动通信系统更要解决如何在有限频带资源中提供多媒体综合业务的问题。所以,在选择调制方案时,第三代

19、移动通信系统考虑的就不能只是抗干扰性能,频带利用率与灵活性应该予以更多考虑。低容量、低速率的语音服务能被传统的调制方案所适用,但高容量、高速率的多媒体业务却难以满足。而正交振幅调制QAM具有高频谱利用率,能根据信号传输环境与信号源的不同自适应地调整调制速率等优点,因此可以有效缓解可用频带紧张的情况及实现多速率的多媒体综合业务传输。渗釤呛俨匀谔鱉调硯錦。传统数字调制方式下,通常单码元携带l bit的信息,但QAM调制信号的幅度和相位均携带信息,随着16QAM中M的增大,调制信号所携带的信息量也相应增加,例如16QAM中一个码元携带4bit的信息,64QAM中一个码元携带6bit的信息,由此可知,

20、16QAM中一个码元携带N bit()的信息,这极大地提高了信道频谱利用率。因此,在通信传输领域,QAM调制方式得到了广泛的应用。而且,QAM得到了广泛应用还有一个重要原因,那即是QAM码间距比MASK,MPSK的要大,所以在提高频带利用率基础上,误码率更小。铙誅卧泻噦圣骋贶頂廡。QAM并不是一种新的调制方式,QAM在有线通信系统中的应用早在10多年前就已经开始了,但直到最近几年,它在无线信道中的应用才开始兴起,在数字电视这样的宽带通信系统中QAM应用较多,但在无线窄带通信系统中的应用还非常少。研究QAM调制的文章很多,可多数是讨论如何应用于数字电视系统的文章,而且这些文章研究的重点集中在解调

21、中的载波提取部分,对QAM调制解调的整个系统进行完整的论述的文章很少。在实现方法及过程中,多数文章内容以软件仿真为主,而且大多文章只实现系统的某个模块,介绍用FPGA硬件实现的文章更是寥寥无几。擁締凤袜备訊顎轮烂蔷。而如今,无线宽带数字通信的“软件无线电”设计方案越来越受到工程师们的青睐。所谓软件无线电,即在一个开放的、标准化的、模块化的通用硬件平台上,通信功能由软件完成。由于代码具有灵活性,开放性的特点,软件无线电系统的实现也具有高度的灵活性,开放性。调制解调器作为软件无线电技术研究的核心内容之一,如何实现调制解调器的软件化是实现软件无线电通用性、开放性的关键环节。多数传统的数字调制解调是利

22、用专门的调制解调芯片实现的,固化的硬件极大限制了设计的灵活性。本文在FPGA这一软件无线电平台上采用verilog语言的方式实现16QAM调制解调,灵活性好。贓熱俣阃歲匱阊邺镓騷。第三节 本文内容和结构近些年,对数字QAM调制解调研究的相关文献比较多。数字QAM调制解调技术自提出至今虽然己经得到长足的发展,但研究的重心往往偏于QAM调制解调的各种模块的算法实现。关于如何运用这些模块搭建一个完整的QAM调制解调系统,并使其满足设计性能的要求,目前相关的研究方法并不是很多。另外无线信道的复杂度对QAM解调也提出了严峻的挑战。所以,研究QAM调制解调技术及其FPGA实现有着及其重要的现实意义。坛摶乡

23、囂忏蒌鍥铃氈淚。本课题主要将对QAM调制解调技术进行研究,在深入研究理论的基础上完 成调制解调系统中关键模块的设计实现。本文主要讨论了16QAM调制解调器中载波恢复、正交相干解调、要求在一片FPGA芯片上实现,工作量较大,具有一定难度。利用Quartus II软件搭建了16QAM调制解调系统,是系统算法的快速实验平台,对整个系统有着举足轻重的作用。载波恢复采用DDS实现,既简单又快速。采样判决采用门限设计来实现。蜡變黲癟報伥铉锚鈰赘。第四节 本章小结 第一章介绍了课题背景、意义、QAM技术发展概要及应用现状和论文内容。 第二章分析了16QAM调制解调的整体设计,16QAM顶层模块原理和程序实现

24、。 第三章分析了16QAM调制的原理与设计,16QAM发送端各个模块的程序实现。 第四章分析了16QAM解调的原理与设计,16QAM接收端各个模块的程序实现。 第五章给出了各个分模块在Quartus II软件平台上的仿真结果和调制、解调系统整体调试。 第六章总结了本文的主要内容和创新点,指明了下一步的研究方向。第二章 QAM调制解调整体设计引言:正交幅度调制16QAM是一种振幅和相位的联合键控。在多进制联合键控体制中,相位键控的带宽和功率占用方面都具有优势,即带宽占用小和比特信噪比要求低。因此MPSK和MDPSK体制为人们所喜用。但是,在MPSK体制中,随着M的增大,相邻相位的距离逐渐减小,使

25、噪声容限随之减小,误码率难于保证。为了改善在M大时的噪声容限,发展出了QAM体制。在QAM体制中,信号的振幅和相位作为两个独立的参量同时受到调制1。本章介绍了16QAM调制解调的原理,对原理及性能进行了数学分析,揭示了16QAM体制的优势所在,并用SystemView软件对整个系统进行行为级的仿真,为之后的FPGA实现提供了理论依据和可行性验证。買鲷鴯譖昙膚遙闫撷凄。第一节 16QAM调制的方法和原理16QAM调制信号可以表示为:, (2.1) 式中和是电平值,这些电平值是通过将2比特序列映射为二进制4电平振幅而获得的,为信号脉冲,是正交载波频率,M为进制数,16QAM调制中M为16。在调制过

26、程中,作为调制信号的输入四路数据两两结合,分别进入两个电平转换器,转换成两路4电平数据,两路4电平数据和分别被载波和调制,然后相减,即可得到16QAM信号。綾镝鯛駕櫬鹕踪韦辚糴。例如一个16位正交幅度调制信号的星座图如图2.1所示,该星座图是通过用16QAM中M4PAM的信号对每个正交载波进行振幅调制再将两路幅值映射到x,y轴得到的,星座点数为。驅踬髏彦浃绥譎饴憂锦。图2.1 M=16的QAM信号星座图同时16QAM调制信号还可以这样表示: (2.2) 上式中,由此可以看出,QAM调制信号可以看成是幅度和相位的联合调制。如果,那么QAM方法就可以达到以符号速率同时发送个二进制数据。对于16QA

27、M,系统能同时发送4个串行二进制数据。图2.2给出了QAM调制器的框图。猫虿驢绘燈鮒诛髅貺庑。QAM信号图2.2 QAM调制器框图将(2-1)式变形,令 (2.3)其中 (2.4)脉冲信号的能量为,由此可得任意两个信号间的欧氏距离是: (2.5)当信号幅值取时,两点间欧氏距离最小,为:。第二节 16QAM解调方法和原理解调实质上是调制的逆过程,在理想情况下,16QAM信号的频带利用率为,目前,对QAM信号的解调方法很多,其主要方法有以下三种:模拟相干解调、数字相干解调、全数字解调。锹籁饗迳琐筆襖鸥娅薔。本文采用数字相干解调法对QAM进行解调,原理如图2.1.3所示:图2.3 QAM解调器框图

28、在接收端接收到的调制信号分别和两路相互正交的载波信号相乘,化简之后相同信号的表达式为: (2.6)正交信号表达式为: (2.7)其中,经过,经过解调得到同相与正交两路相互独立的多电平基带信号,然后把多电平基带信号经过低通滤波器滤去高频载波之后得到直流分量为和,再进行采样判决、L-2值电平转换和并/串转换还原出基带信号。此处时为16QAM相干解调。構氽頑黉碩饨荠龈话骛。第三节 本章小结本章对QAM调制解调相关的基础理论进行了研究,分别给出了调制端和解调端的原理图。在调制端,基带脉冲成形原理是一个及其重要的部分,这里对与模块设计相关的基带成形作了说明。在解调端,对解调理论当中的基本原理作了阐述。本

29、章通过对QAM调制解调相关理论的重点阐述,为后面进一步研究QAM调制解调的仿真和FPGA实现都打下了很好的基础。輒峄陽檉簖疖網儂號泶。第三章 QAM调制器分模块设计通常,一个电子系统有多个不同的功能模块构成,但总有一个模块将所有模块连接起来,完成整个电子系统的协同工作,这个模块就是顶层模块。由顶层向底层逐层展开设计,各功能模块的内部结构逐级得到深化和细化。尧侧閆繭絳闕绚勵蜆贅。第一节 FPGA概述接收端采取这种“自顶向下”(Topdown)的设计方法从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述;在功能一级进行验证

30、,然后用逻辑综合优化工具生成具体的门级逻辑电路的网表10。因为硬件描述语言可以比较抽象的层次上描述设计的结构和内部特征,而对系统进行行为描述的目的是在系统设计的初始阶段,通过对系统行为描述的仿真来发现系统设计中存在的问题。识饒鎂錕缢灩筧嚌俨淒。逻辑综合功能将高层次的系统行为设计自动翻译成门级逻辑的电路描述,做到了设计与工艺的独立。软件设计工作主要采用Altera 公司的Quartus II 9.0 软件进行自顶向下的设计和Verilog 语言进行行为级描述设计,Quartus II 9.0 是美国Altera 公司自行设计的一种CAE 软件工具,方便利用EDA 方式设计ASIC 芯片,支持嵌入

31、式系统的开发、DSP Builder、SOPC开发、Signal Tap 逻辑分析仪、LogicLock 优化技术等,是一个有力的开发工具。Verilog 是一种以文本形式来描述数字系统硬件结构和行为的语言,可以从上层到下层逐层描述设计思想,用一系列分层次的模块表示复杂的数字系统,并逐层进行仿真验证,把具体的模块组合由综合工具转换成门级网表,最后利用布局布线工具把网表转化为具体电路结构11。本次设计中接收端的数字信号处理是建立在有符号数的运算上进行的。有符号数与无符号数的对应关系为:一个8比特数系统可以产生256种不同的组合(0至255),其中前128种组合(0至127)表示正数,而后128种组合(128至255)表示负数。无符号数的0至255对应于有符号数的-1至-128和0至+127。假设0至255按顺时针方向组成一圆周,则正数应从0向顺时针的方向数,而负数应从0向逆时针的方向数。因此,对一个正数的二进制码取反加1则得到相应负数的二进制码。正数的最高位均为0而负数的最高位均为13。如表3-1所示。凍鈹鋨劳臘锴痫婦胫籴。表3-1 无符号数与有符号数的对应关系无符号数二进制码16进制码有符号数00000 000000010000 000101+120000 001002+21270111 11117F+1271281000 000080-1281

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1