ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:213.40KB ,
资源ID:11320756      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11320756.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计四路抢答器.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计四路抢答器.docx

1、EDA课程设计四路抢答器EDA课程设计报告报 告 题 目: 四路抢答器 作者所在系部: 电子系 作者所在专业: 微电子技术 作者所在班级: XXXXXXXXXXXXX 作 者 姓 名 : XXXXXXXXXXXXXX 指导教师姓名: XXXX 完 成 时 间 : XXX-XX-XX 内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。因此要完成抢答器的逻辑功能,该电路应包

2、括抢答器鉴别模块、抢答器计数模块、译码模块、计数模块。关键词:抢答鉴别 译码 计数 Abstract Responder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgmen

3、ts , and press the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questio

4、ns, all the keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module. KEY: Responder Identifi

5、cation Count 一、设计要求1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮p0p3表示。2.设置一个系统使能开关kaishi,该开关由主持人控制。3抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED和数码管上显示,同时提示灯亮。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。二、方案设计与论证1、概述将该任务分成三个模块进行设计,分别为:抢答器鉴别模块、计数模块、译码模块。2、抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时

6、,该路抢答信号将其余的抢答信号封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号warm。3、抢答器计数模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行20秒的倒计时,并且在20秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk1;系统复位信号rst;抢答使能信号start;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。4、译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。5、顶层文件:在这个模块中是对前五个模块的综合编写的顶层文

7、件。三、单元电路设计(一)抢答鉴别模块1.VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiangda isport(p:in std_logic_vector(3 downto 0); en:in std_logic; clk:in std_logic; y:out std_logic_vector(3 downto 0);end qiangda;architecture a of qiangda issignal b:std_logic_vector;begin

8、process(p,en,clk)beginif(clkevent and (clk=1) )then if(en=1 )then case p is when 0001= y y y yy=0000; end case; else y=0000; end if;end if;end process;end a;2. 抢答鉴别仿真图3抢答鉴别元件图(二)计数模块1. VHDL源程序library ieee;use ieee.std_logic_1164.all;entity ten is port(clk:in std_logic; set:in std_logic; data:in inte

9、ger range 0 to 9; count:out integer range 0 to 9; carry:out std_logic);end ten;architecture dd of ten issignal tmp:integer range 0 to 9;begin process(clk,set,data) begin if(set=1) then tmp=data; elsif(clkevent and clk=1) then if(tmp9) then tmp=tmp+1; carry=0; elsif(tmp=9) then tmp=0; carry=1; end if

10、; end if; end process; count=tmp; end dd;2.计数仿真图3.计数元件图(四)七段译码器模块1. VHDL源程序LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder3_8 IS PORT( A, B, C ,D: IN STD_LOGIC; -KEY1键和KEY2键和KEY3键作为 A b C信号的输入 CON:IN STD_LOGIC; Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -LED灯作为输出显示状态END decoder3_8;ARCHITECTURE fu

11、n OF decoder3_8 IS SIGNAL indata: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN indata YYYYYYYYYYY=XXXXXXXX; END CASE; END IF; END PROCESS encoder; END fun;2.译码元件仿真3.译码元件图(六)顶层文件1.仿真图: 2. QDQ_1主电路图连线四 锁定引脚及下载1.选择锁定引脚,再重新编译一次。在编程窗的Mode中选择Active Serial programming编程模式,打开编程文件,选中QDQ.pof,并选中打钩前3个编程项目,在ED2板上选择PROG模式,然后下载。2.锁定引脚:时钟信号 clk N2发光二极管 LEDRO-LEDR3(AE23.AF23.AB21.AC22)数码管 num0-num6 (AB12.AC12.AD11.AE11.V14.V13) ta0-ta6 (AB23.V22.AC25.AC26.AB26.AB25.Y24) tb0-tb6 (Y23.AA25.AA26.Y26.Y25.U22.W24)开关kaishi AF14SW0-SW3(N25.N26.P25.AE14)SOUND AA14START AD13STOP AC13

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1