ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:248.86KB ,
资源ID:11313591      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11313591.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL秒表功能.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VHDL秒表功能.docx

1、VHDL秒表功能本程序利用VHDL实现功能:按下键开始计时,再次按下停止计时,再次按下清零,再次按下开始计时4位数码管显示,最大99.99秒。程序分为分频模块,按键消抖模块/数码管显示转换模块和top模块-通用偶数分频器输入时钟和复位信号,输出分频后的时钟library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity gen_div is generic(div_param:integer:=1);-分频因子,分频为2*div_param,默

2、认2分频 port ( clk:instd_logic;-输入时钟 bclk:outstd_logic;-分频输出 resetb:instd_logic-复位信号 );end gen_div;architecture behave of gen_div issignal tmp:std_logic;-输出暂存寄存器signal cnt:integer range 0 to div_param:=0;-计数寄存器begin- process(clk,resetb) begin if resetb=1 then -reset有效时,bclk始终是0 cnt=0; tmp=0; elsifrisin

3、g_edge(clk) then cnt=cnt+1; if cnt=div_param-1 then tmp=not tmp;-取反信号 cnt=0; end if; end if; end process; bclkclkin, resetb=not resetin, bclk=clk_1k );-check_key0_state: process(clk_1k,resetin,key) variable cnt:integer range 0 to 63:=0; begin if resetin=0 then key_out=0; sk_state_key0 if key=1 then-

4、=0位按下,1位松开 sk_state_key0=check_down; key_out=0;-松开了,输出0 elsif key=0 then-有键按下,准备消抖 sk_state_key0-延时10ms,消抖 cnt:=cnt+1; if cnt=10 then sk_state_key0 if key=1 then sk_state_key0=check_down;-重新检测 elsif key=0 then-确实有键按下 key_out= 1; -输出1 sk_state_key0null; end case; end if; end if; end process;end behav

5、e;数码管显示转换模块输入时钟复位信号和数字,输出段码-把输入的整型转变为对应显示段码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity led_change is port ( clkin,resetin:instd_logic;-时钟,复位信号输入 data_in:in integer range 0 to 21;-输入整型 data_out:outstd_logic_vector(7 downto 0)-数码管段码输出 );

6、end led_change;architecture behave of led_change isbegin process(clkin,resetin,data_in) begin if resetin=1 then data_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outdata_outclk_init, re

7、setb= not reset_init, bclk=clk_sm );gen_data_1:-个位段码转换 led_change port map ( clkin=clk_init, resetin=not reset_init, data_in=data_1_tmp, data_out=data_1 );gen_data_10:-十位段码转换 led_change port map ( clkin=clk_init, resetin=not reset_init, data_in=data_10_tmp, data_out=data_10 );gen_data_100:-百位段码转换 le

8、d_change port map ( clkin=clk_init, resetin=not reset_init, data_in=data_100_tmp, data_out=data_100 );gen_data_1000:-千位段码转换 led_change port map ( clkin=clk_init, resetin=not reset_init, data_in=data_1000_tmp, data_out=data_1000 );key_sig:-调用按键消抖程序 sig_key port map ( clk_init,reset_init,sw,key_out_in

9、it ); gen_cnt_50k:-cnt_50k循环计数,四个状态的循环周期是20us*4=80us,即为扫描周期 process(clk_sm,reset_init,data_1000,data_100,data_10,data_1) begin if reset_init=0 then cnt_50k=00; else if rising_edge(clk_sm) then cnt_50k com=0111;data com=1011;data com=1101;data com=1110;data com if key_out_init=0 then 松开,置1 key_flog:=

10、1; end if; if key_out_init=1 and key_flog=1 then按下,且之前是松开转到状态2开始计时,按下后flog置0 sw_state if key_out_init=0 then-松开健开始计时,且置1 key_flog:=1; cnt_clk_1k:=cnt_clk_1k+1; data_1_tmp = ( cnt_clk_1k /50 / 10 ) rem 10; data_10_tmp = ( cnt_clk_1k /50 / 100 ) rem 10; data_100_tmp = ( cnt_clk_1k /50 / 1000 ) rem 10+

11、10; data_1000_tmp = ( cnt_clk_1k /50 / 10000 ) rem 10; end if; if key_flog=1 then 置1表示已经松开 if key_out_init=1 then 再次按下 sw_state if key_out_init=0 then key_flog:=1; end if; if key_flog=1 then if key_out_init=1 then sw_state= sw_1; key_flog:=0; cnt_clk_1k:=0; data_1_tmp =0;-清零 data_10_tmp =0; data_100_tmp =0; data_1000_tmp sw_state= sw_1; end case; end if;end process; end behave;-top程序应该有复位信号,本除没有加。可在上面进程里面加,按下后说有变量清零。以上程序已经验证。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1