ImageVerifierCode 换一换
格式:DOCX , 页数:78 ,大小:936.08KB ,
资源ID:11104002      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11104002.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(精品本科毕业论文设计基于NiosII的PWM直流电机控制系统设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

精品本科毕业论文设计基于NiosII的PWM直流电机控制系统设计.docx

1、精品本科毕业论文设计基于NiosII的PWM直流电机控制系统设计基于Nios II的PWM直流电机控制系统设计摘要电力电子技术、微处理器技术、信号检测与处理技术、自动控制理论和计算机应用技术的发展以及永磁材料技术的进步,极大地推动了运动控制系统向纵深发展。从而加速了直流电动机调速及伺服系统向一体化电动机以及控制数字化方向发展的进程,为运动控制系统的高实时性、强稳定性的控制要求提供了保障和依据。针对运动控制系统的高实时性、强稳定性的控制要求,开发高精度、高效率和开放式的运动控制器具有十分重要的意义。本文以两相直流电机为控制对象,采用基于Nios II的自定制Avalon外设技术,以单芯片大容量现

2、场可编程门阵列(FPGA)为核心控制器,设计了基于可编程片上系统(SOPC,System on Programmable Chip)技术的运动控制器。本文首先介绍了电气传动系统和PWM技术的基本情况,说明了本文设计的控制系统所使用的技术。其次,本文介绍了利用嵌入FPGA内部 Nios II软核来构建一个真正意义上的小型SoC(System On a Chip)直流电机控制系统的具体方法,并以此说明了SOPC思想和实现途径。该系统采用通用的PWM直流电机调速方案,并使用ALTERA公司的DE2开发板上的FPGA芯片EP2C35F672C6作为系统控制器, 同时利用硬件描述语言将调速控制所需的一些

3、电路高度集合成直流电机控制系统,从而为电机的控制提供了一种新的思路。最后,本文给出了控制系统软件中的几个关键函数,说明了系统是如何控制电机运动的。依据上述的设计思想,做出了实体模型并进行验证测试,相关运行结果证明了设计的可行性和正确性。本文所采用的Nios II软核组成的SOPC系统技术解决方案具有集成度高、灵活性强、扩展性好、可以大大缩短产品的开发周期的优点和特点。关键词直流电机控制;脉宽调制(PWM);现场可编程门阵列(FPGA);可编程片上系统(SOPC);硬件描述语言Based on the Nios II PWM DC Motor Control System DesignAbstr

4、actAlong with the development of electronic technology, microprocessor technology, signal detection and processing technology, automatic control theory and computer application technology and permanent magnet materials technology, people have made a great progress on the movement control system. Thu

5、s speeding up the DC motor the speed governing and servo systems evolving into the integrative motor and the control digital direction of proceedings, for the Motion Control System of high real-time, strong stability of the control requirements, provides a guarantee and basis. In accordance with Mot

6、ion Control System for the high real-time, strong stability of the control requirements, development of high precision and high efficiency and open the Motion Controller of great significance.In this thesis, two-phase DC motor to control the object, to use the Nios II-based Avalon peripherals custom

7、ized technology, to use large-capacity single-chip field programmable gate array(FPGA)as the core controller, design based on programmable chip system (SOPC, System on Programmable Chip) technology of motion controller.At first, this thesis introduces the electric transmission system and the basic s

8、ituation of PWM technology, illustrates the technology of control system, which is designed in this paper. Secondly, the thesis introduces the method of building a true small-scale SoC(System on a Chip)for DC motor control system with embedded soft-core FPGA internal Nios II and illustrates ideas an

9、d the way to the realization of SOPC. This system uses a common DC motor PWM speed control program and the FPGA chip named EP2C35F672C6 on ALTERAs DE2 development board as the system controller. At the same time, it integrates some circuit which is needed by speed control to DC motor control system

10、with the help of hardware description language. Thus it provides a new way of the motor control. Finally, this paper provides several key functions in the control system software to explain how to control the movement of motor.Based on the above design, Ive made a solid model and carried out proof t

11、ests, the related results proved the feasibility and correctness of this design. The program of the SOPC system technology which are composed of Nios II soft-core in this thesis have many advantages and characteristics, such as highly integration, high flexibility, scalability and can greatly shorte

12、n the product development cycle.Keywords DC Motor Control; PWM;Field Programmable Gates Array (FPGA);System On Programmable Chip(SOPC); Hardware Description Language; 不要删除行尾的分节符,此行不会被打印摘要 Abstract 第1章 绪论 11.1 课题背景 11.2 电气传动与PWM技术概述 11.2.1 电气传动的发展与趋势 11.2.2 PWM技术发展概述 21.3 FPGA概述 21.3.1 EDA简介 21.3.2 F

13、PGA简介 31.3.3 Cyclone II系列FPGA简介 31.3.4 FPGA开发基本流程 41.4 SOPC概述 51.4.1 SOPC简介 51.4.2 Nios II软核简介 61.5 本文研究内容 6第2章 PWM直流电机控制系统设计原理 72.1 直流电机驱动器的组成 72.1.1 直流PWM控制的基本原理 72.1.2 驱动电路概述 82.2 直流电机闭环控制系统的组成 112.2.1 闭环控制的基本思想 112.2.2 闭环控制的基本实现方法 112.3 直流电机控制器的组成 122.3.1 Avalon总线结构概述 122.3.2 基于Avalon总线自定义外设概述 1

14、32.4 本章小结 13第3章 基于Nios II的系统硬件设计 143.1 系统的的主体框架 143.2 控制器各部分模块 153.2.1 Nios II软核构建 153.2.2 PWM信号产生模块 193.2.3 电机运行状态控制电路模块 223.2.4 频率计模块 223.2.5 显示译码模块 243.2.6 闭环控制电路 263.2.7 分频器 273.3 直流电机驱动电路 283.3.1 TLP521光耦电气隔离 283.3.2 L298N驱动电路 293.3.3 工作电源 313.4 直流电机闭环控制电路 313.4.1 电机转速信号采集 313.4.2 电机转速信号整理电路 33

15、3.5 本章小结 35第4章 基于IDE环境的软件工程设计 364.1 控制系统软件主体构架 364.2 控制软件各工作模式 374.2.1 单速工作模式 374.2.2 分级定速工作模式 384.2.3 实时调节工作模式 394.3 主要函数读解 404.3.1 单速工作程序 404.3.2 分级定速工作程序 404.3.3 实时调节工作程序 414.3.4 闭环控制程序 424.3.5 系统异常处理程序 434.4 本章小结 43结论 44致谢 45参考文献 46附录A 47附录B 55附录C 63附录D 65附录E 67附录F 68附录G 69千万不要删除行尾的分节符,此行不会被打印。在

16、目录上点右键“更新域”,然后“更新整个目录”。打印前,不要忘记把上面“Abstract”这一行后加一空行第1章 绪论1.1 课题背景随着电力电子技术、微处理器技术的发展以及永磁材料技术的进步,直流电动机调速及伺服系统正在向一体化电动机以及控制数字化的方向发展。一体化电动机的发展方向主要体现于集控制及电动机于一体的无刷直流电动机。而脉宽调制(PWM)技术以及相应的功率开关电路技术则是控制数字化的基础。EDA(Electronic Design Automation)技术作为现代电子设计技术的核心,依赖功能强大的计算机,在EDA工具软件平台上,实现既定的电子线路功能系统的设计。单片机及DSP的性能

17、不断提高,使得PWM控制技术及电动机控制技术也日趋成熟。FPGA和CPLD等超大规模可编程逻辑器件的出现,不仅可以制作成控制器来代替单片机及DSP,同时还能讲外围电路集成到同一个芯片当中,大大提高了系统的集成度和可靠性。1.2 电气传动与PWM技术概述1.2.1 电气传动的发展与趋势随着现代技术的发展,电气传动系统正在向系统高性能、控制数字化、一体化机电的方向发展。直流传动系统控制简单、调速特性好,一直是调速传动领域中的重要组成部分1。现代的直流传动系统的发展方向是电动机主极永磁化及换向无刷化,而无刷直流电机正是在这样的趋势下所发展起来的机电一体化电动机系统。一般意义上的无刷直流电机是指方波无

18、刷直流电动机,其特征是只需简单的开关位置信号即可通过逆变桥驱动永磁电动机工作。近年来,虽然永磁直流电动机也随着永磁材料技术的发展而得到了性能的提高,依然在直流传动系统中被广泛应用,但直流传动系统已经处于无刷直流电动机大规模普及与应用的阶段。永磁同步电动机调速及高性能伺服技术发展迅速,应用功率范围不断扩大。永磁同步电动机,实际上为带有位置传感器的、由逆变器驱动的永磁同步电动机系统。其反电势波形为正弦波,相应的绕组电流也为正弦波。关于永磁同步电动的研究主要集中于电动机的新型结构形式、气隙磁场的设计、计算和绕组电流的控制。其中,绕组电流的控制为大部分文献研究的焦点。此后的研究虽然在控制手段上不断改进

19、,但控制方法没有本质的突破。一般实现电流控制的手段有模拟方法、模拟数字混合方法、全数字方法等,并在逐步向全数字控制方向发展。高性能直流传动系统在向方波无刷直流电动机为主的方向发展,而方波无刷直流电动机在向电流正弦化的方向发展,而永磁同步电动机系统也在向无位置检测或位置检测简易化方向发展。随着发展,交、直流之分越来越模糊,二者的发展方向相同,概念趋向一致。如今,无刷直流电动机或永磁同步电动机系统集特种电动机、变流机构、检测元件、控制软件和硬件于一体,形成新一代的一体化电动机系统,体现着当今应用科学的最新成果,是机电一体化的高科技产物。1.2.2 PWM技术发展概述随着全控型功率电子器件的发展,脉

20、冲调制(PWM)技术与开关功率电路成为主流技术,在功率应用中基本取代了线性功率放大电路,以减小功率器件导通损耗,提高驱动效率。在PWM技术中,功率器件工作在开关饱和导通状态,通过改变功率器件的驱动脉冲信号的开通与关断的时间,来改变加在负载两端的平均电压的大小。改变脉冲信号的开通、关断时间有两种基本方式。一种方式是将脉冲信号的开关频率及周期T固定,通过改变导通脉冲的宽度来改变负载的平均电压,这就是脉冲宽度调制(PWM)。另一种方式是将脉冲信号的导通宽度固定,通过改变开关频率及周期T来改变负载的平均电压,这就是脉冲频率调制(PFM)。由于PFM控制是通过改变脉冲频率来实现平均电压的调节的,频率变化

21、范围较大。在频率较低时,往往人耳所感觉到的电磁噪声较高;而在频率较高时,会导致功率器件开关损耗的增加,而且还存在功率器件关断速度的限制。最严重的情况是,在某些特殊频率下系统有可能产生机械谐振,就会导致系统产生震荡和出现音频啸叫声。而在PWM控制中,由于脉冲频率固定,通过频率选择不但可以克服上述问题,而且有利于消除系统中由于功率器件开关所导致的固定频率的电磁干扰。因此在电气传动领域内PWM控制技术成为应用的主流技术。1.3 FPGA概述1.3.1 EDA简介在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。前者代表了物理层在广度和深度上硬

22、件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,EDA技术便是这两者的结合2。EDA(Electronic Design Automation)技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计技术、ASIC测试技术和封装技术、FPGA/CPLD编程下载技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号

23、处理技术、数字系统建模等。因此EDA技术为现代电子理论和设计的表达与实现提供了可能性。EDA技术通过超大规模可编程逻辑器件、半定制或全定制ASIC及混合ASIC三种途径来实现完成专用集成电路的设计和实现这一最终目标。ASIC作为最终的物理平台,容纳了用户通过EDA技术将电子用系统的既定功能和技术指标具体实现的硬件实体。1.3.2 FPGA简介FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,

24、又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1.采用FPGA设计ASIC电路,用户不需要投片生产,就能得到可用的芯片。 2.FPGA可做其它全定制或半定制ASIC电路的中试样片。 3.FPGA内部有丰富的触发器和IO引脚。 4.FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

25、 5.FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FP

26、GA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 FPGA有并行主模式、主从模式、串行模式及外设模式等多种配置模式。1.3.3 Cyclone II系列FPGA简介Cyclone II系列FPGA芯片是ALTERA公司的产品。ALTERA公司的PLD具有高性能、高集成度和高性价比的优点。其中,Cyclone II系列芯片是最新推出的产品系列。Cyclone II器件的制造基于300mm晶圆,采用TSMC 90nm、低K值电介质工艺。Cyclon

27、e II FPGA系列是低成本系列FPGA,其功能包括:1.多达68416LE,用于高密度应用。2.多达1.1Mb的用于嵌入式处理器的通用存储单元。3.多达150个1818用于嵌入式处理器的低成本数字信号处理(DSP)应用。4.专用外部存储器疾控电路用以连接DDR2、DDR和SDR SDRAM以及QDRII SRAM存储器件。5.最多4个嵌入式PLL,用于片内和片外系统时钟管理。6.支持单端I/O标准用于64为、66MHZ PCI和64为、100MHZ PCI-X(模式1)协议。7.具有差分I/O信号,支持RSDS、mini-LVDS、LVPECL和LVDS,数据速率接收端最高达805Mbps

28、,发送端最高633Mbps。8.对安全敏感应用极性紫铜CRC检测。9.具有支持完全定制Nios II嵌入式处理器。10.采用串行配置器件的低成本配置解决方案。1.3.4 FPGA开发基本流程FPGA系统设计流程包括硬件和软件设计流程。首先确定系统功能,并对关键部分予以仿真。在确定系统功能并划分功能模块之后,根据不同的结构和算法,确定不同的资源消耗。由上述过程可以确定系统设计需要消耗的门数、存储器的大小。根据系统设计的要求,对系统时序和时钟速率进行考察和估计,可以确定所需器件的速度级别。根据系统外部接口的要求,确定接口时序和芯片引脚资源消耗情况。在上述过程完成以后,考虑系统功能和性能的可扩展性,

29、确定器件型号。型号确定之后,需要确定配置方式,因为不同型号的器件,其配置方式是有很大的差异的。硬件设计和软件设计可以同时进行。所谓软件设计是用HDL语言(Hardware Description Language)利用FPGA内部资源实现设计的过程,一般包括设计输入、综合、功能仿真(前仿真)、设计实现、时序仿真(后仿真)、配置下载五个过程3。具体设计流程如图1-1所示:1.设计输入:FPGA设计一般基于某种EDA软件的开发,设计输入就是设计人员将所要设计的系统或电路以开发软件要求的形式表示出来,目前比较流行的做法是采用硬件描述语言(HDL)用文本的形式来描述设计。硬件描述语言可以对系统进行行为

30、级和寄存器传输级描述。2.设计综合:综合,就是针对给定的电路实现功能和实现此电路的约束条件,通过计算机进行优化处理,获得一个能满足上述要求的电路设计方案。也就是说,被综合的文件是HDL文件(或相应文件等),综合的依据是逻辑设计的描述和各种约束条件,综合的结果则是一个硬件电路的实现方案,该方案必须同时满足预期的功能和约束条件。图 11 FPGA基本开发流程3.仿真验证:从广义上讲,设计验证包括功能与时序仿真和电路验证。仿真是指使用设计软件包对已实现的设计进行完整测试,模拟实际物理环境下的工作情况。前仿真是指仅对逻辑功能进行测试模拟,以了解其实现的功能否满足原设计的要求,仿真过程没有加入时序信息,

31、不涉及具体器件的硬件特性,如延时特性;而在布局布线后,提取有关的器件延迟、连线延时等时序参数,并在此基础上进行的仿真称为后仿真,它是接近真实器件运行的仿真。4.设计实现:实现可理解为利用实现工具把逻辑映射到目标器件结构的资源中,决定逻辑的最佳布局,选择逻辑与输入输出功能连接的布线通道进行连线,并产生相应文件(如配置文件与相关报告)其主要过程包括,设计文件转换、映射和布局布线。5.时序提取:产生一反标文件,供给后续的时序仿真使用。6.配置:产生FPGA配置时需要的位流文件。7.下载验证:下载是在功能仿真与时序仿真正确的前提下,将综合后形成的位流下载到具体的FPGA芯片中,也叫芯片配置。1.4 S

32、OPC概述1.4.1 SOPC简介SOPC(System On Programmable Chip),是Altera公司提出来的一种灵活、高效的SOC解决方案,是一种新的软硬件协同设计的系统设计技术。它将处理器、存储器、I/O口、LVDS、CDR等系统设计需要的功能模块集成到一个可编程器件上,构成一个可编程的片上系统4。SOPC是PLD和ASIC技术融合的结果,可以把它看成半导体产业未来的发展方向的代表。SOPC结合了ASIC和FPGA各自的优点,其基本特征:1.以具有系统性能的FPGA为平台,至少包含一个以上的嵌入式处理器核(软核或硬核)。2.具有小容量的高速片内RAM资源。3.丰富的IP资源可供选择。4.具有丰富的片上可编程资源。5.具有处理器调试接口和FPGA编程接口。6.单芯片、低功耗。SOPC方案构成途径有基于FPGA嵌入IP硬核的SOPC系统、基于FPGA嵌入I

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1