ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:25.29KB ,
资源ID:11010822      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11010822.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(优先编码器课程设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

优先编码器课程设计.docx

1、优先编码器课程设计东北石油大学课程设计任务书课程 硬件课程设计 题目 8-3 优先级编码器设计 专业 计算机科学与技术 姓名 学号 主要内容、基本要求等一、 主要内容:利用EL教学实验箱、微机和Quartus U软件系统,使用VHDL语言输入方法设计8-3优先编码器。可以利用层次设计方法和 VHDL语言,完成硬件设计设计和仿真。最后在 EL教学 实验箱中实现。二、 基本要求:设计并实现一个8-3优先级编码器,要求10优先级最高,17优先级最低,编码输出为 原码。三、 扩展要求:输入端加使能端,在使能端为有效的低电平时,进行编码;在使能端为无效的高电平时,输出高阻状态。四、 参考文献:1杨刚,龙

2、海燕现代电子技术-VHDL与数据系统设计.北京:电子工业出版社,20042黄仁欣.EDA技术实用教程.北京:清华大学出版社,20063潘松.VHDL实用教程M.成都:电子科技大学出版社,20004李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,20005宋振辉.EDA 技术与VHDL北京:北京大学出版社,2008完成期限 18-19周 指导教师 张岩专业负责人 富宇2011年 6月 28日第1章概述 11.1EDA的概念 11.2EDA技术及应用 21.3EDA技术发展趋势 21.4Quartus II 特点介绍 3第2章硬件描述语言 一一VHDL 42.1VHDL的简介 42.

3、2VHDL语言的特点 42.3VHDL的设计流程 5第3章8-3优先编码器的设计 63.1编码器的工作原理 63.28-3优先编码器的设计 63.38-3优先编码器仿真及分析 73.4在实验箱上实现8-3优先编码器 8结论 11参考文献 12第1章概述1.1EDA的概念EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以 计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化 技术的最新成果,进行电子产品的自动设计。利用 EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完 成,并可以将电子产品从电路设计、性能分析到设计出 IC版图

4、或PCBK图的整个过程在计算机上自动处理完成。现在对 EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个 领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教 学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直 到飞行模拟,都可能涉及到 EDA技术。本文所指的EDA技术,主要针对电子 电路设计、PCB设计和IC设计。EDA设计可分为系统级、电路级和物理实现 级。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统 的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复 杂的电路和系统成为可能

5、。在原理图设计阶段,可以使用 EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用 EDA中的芯片设计工具设计制作芯片的版图;在电路板设计阶段,可以使用 EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的 EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就 可以进行该数字系统的芯片设计与制造。21世纪将是EDA技术的高速发展 期,EDA技术将是对21世纪产生重大影响的十大技术之一。 ?硬件描述语言?:?硬件描述语言(HDL)是一种用于进行电子系统硬件设计 的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电 路结

6、构和连接形式。?常用硬件描述语言有HDL Verilog和VHDL语言。1.2EDA技术及应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了 EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用 HDL语言编写规范、掌握逻辑综合的理论和算法、使用 EDA工具进行电子电路课程的实验并从事 简单系统的设计。一般学习电路仿真工具(如 EWB PSPICE和PLD开发工具(如Altera/Xilinx 的器件结构及开发系统),为今后工作打下基础。在产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA工具应用、

7、系统级模拟及测试环境的仿真,生产流水线的 EDA技术应用、产品测试等各个环节。如 PCB的制作、电子设备的研制与生产、电路板 的焊接、ASIC的流片过程等。从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包 括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个 领域,都有EDA有应用。另外,EDA软件的功能日益强大,原来功能比较单 一的软件,现在增加了很多新用途。女口 AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图,汽车和飞机的模型、电影特技等领 域。辺1.3EDA技术发展趋势印度正在成为电子设计自动化领域发展最快的两个市场, 年夏合增长率分别达到

8、了 50唏口 30% EDA技术发展迅猛,完全可以用日新月异来描述。 EDA技术的应用广泛,现在已涉及到各行各业。 EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平仍很有限,尚需 迎头赶上。EDA技术在进入21世纪后,由于更大规模的FPGA器件的不断推 出,在仿真和设计两方面支持标准硬件描述语言的功能强大的 EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入 EDA 领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现 在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确 认成为可能;基于EDAX具的AS

9、IC设计标准单元已涵盖大规模电子系统及 IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域 得到进一步确认;SoC高效低成本设计技术的成熟。随着半导体技术、集成 技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很 大的变化。可以说电子EDA技术是电子设计领域的一场革命。 传统的“固定 功能集成块十连线”的设计方法正逐步地退出历史舞台,而基于芯片的设计 方法正成为现代电子系统设计的主流。作为高等院校有关专业的学生和广大 的电子工程师了解和掌握这一先进技术是势在必行, 这不仅是提高设计效率的需要,更是时代发展的需求,只有掌握了 EDA技术才有能力参与世界电子 工业

10、市场的竞争,才能生存与发展。随着科技的进步,电子产品的更新日新 月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核 心。所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是 EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发 展具有深远的意义。在EDA软件开发方面,目前主要集中在美国。但各国也 正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。 中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信 在不久的将来会有更多更好的设计工具在各地开花并结果1

11、.4Quartus II 特点介绍Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、 VHDL VerilogHDL 以及 AHD(Altera Hardware Description Language) 等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输 入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用 Tcl脚 本完成设计流程外,提供了完善的用户图形界面设计方式 。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II 支持 Altera 的 IP 核,包含了 LPMMe

12、gaFunction 宏功能模 块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设 计速度。对第三方EDAX具的良好支持也使用户可以在设计流程的各个阶 段使用熟悉的第三方EDAX具。此外,Quartus II 通过和 DSPBuilder 工具与 Matlab/Simulink 相结合,可以方便地实现各种 DSP应用系统;支持Altera的片上可编程系统(SOPC开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体, 是一种综合性的开发平台。Maxplus II作为Altera的上一代PLD设计软件,由于其出色的易用性 而得到了广泛的应用。目前Altera已经停止了对Maxp

13、lus II的更新支 持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera 在 Quartus II 中包含了许多诸如 SignalTap II 、Chip Editor和RTL Viewer的设计辅助工具,集成了 SOP(和HardCopy设计流程,并且 继承了 Maxplus II友好的图形界面及简便的使用方法。4Altera Quartus II作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。第2章硬件描述语言VHDL2.1VHDL的简介VHDL语言是一种用于电路设计的高级语言。它在 80年代的后期

14、出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开 发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足 了当时的设计需求,于是他在 1987年成为A I/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为 A I/IEEE的A I/IEEE STD 1076-1993标准。目前,大多数的 CAD厂商出品的EDA软件都 兼容了这种标准。VHDL的英文全写是:VHSIC (Very High eed Integrated Circuit)Hardware Descriptiong Language翻译成中文就是超高速集成

15、电路硬 件描述语言。因此它的应用主要是应用在数字电路的设计中。 52.2VHDL语言的特点VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用 于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环 境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语 法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设 计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的 内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述 语言(HDL)的基本特征。当一个设计项目定义了外部界面(端口),在 其内部设计完成后,其他的设计就可以利用外部端

16、口直接调用这个项目。VHDL的主要特点如下:1.作为HDL的第一个国际标准,VHDL具有很强的可移植性。2.具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟, 因而能将设计中的错误消除在电路系统装配之前,在设计早期就能检查设 计系统功能的可行性,有很强的预测能力。3.VHDL有良好的可读性,接近高级语言,容易理解。4.系统设计与硬件结构无关,方便了工艺的转换,也不会因工艺变化 而使描述过时。5.支持模块化设计,可将大规模设计项目分解成若干个小项目,还可 以把已有的设计项目作为一个模块调用。6.对于用VHDL完成的一个确定设计,可以利用 EDA工具进行逻辑 综合和优化,并能自动地把 VH

17、DL描述转变成门电路级网表文件。7.设计灵活,修改方便,同时也便于设计结果的交流、保存和重用,产品开发速度快,成本低。2.3VHDL的设计流程它主要包括以下几个步骤:1文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL编辑环境。通常VHDL文件保存为.vhd文件,Verilog文件保存为.v文件。2使用编译工具编译源文件。3功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真)4逻辑综合:将源文件调入逻辑综合软件进行综合, 即把语言综合成最简的布尔表达式。逻辑综合软件会生成.edf或.edif的E

18、DA工业标准文件。5.布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设 计好的逻辑安放PLD/FPGA内。6时序仿真:需要利用在布局布线中获得的精确参数, 用仿真软件验证 电路的时序。(也叫后仿真) 通常以上过程可以都在 PLD/FPGA厂家提供 的开发工具。7烧写器件第3章8-3优先编码器的设计3.1 编码器的工作原理八-三优先编码器输入信号为 dinO , din1,din2,din3,din4, din5 ,din6和din7,输出信号为out2、out1、outO。输入信号中din7的优先级别最 低,依次类推,dinO的优先级别最高。也就是说若 dinO输入为1(即为

19、高电平) 则无论后续的输入信号怎么样,对应的这种状态一样,如若 din0输入为0 (即为低电平)则看优先级仅次于 din0的din1状态决定,依次类推。因为din0到 din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表 所示。表3-1 8-3 优先编码器真值表输 入输 岀di n0di n1di n2di n3di n4di n5di n6di n7out0out1out21xxxxxxx00001xxxxxx100001xxxxx0100001xxxx11000001xxx001000001xx1010000001x011000000010113.2 8-3 优先编

20、码器的设计8-3译码器由VHDL程序来实现,VHDL语言描述如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY coder ISPORT( din : IN STD_LOGIC_VECTOR(TO 7);output : OUTSTD_LOGIC_VECTOR(TO 2);EANABLE: in std_logic );END coder;ARCHITECTURE behav OF coder ISSIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGINPROCESS (di n)BEGINIF (EAN

21、ABLE=0) THENIF (di n(0)=1) THEN output = 000;ELSIF (din(1)=1) THEN output = 100;ELSIF (di n(:2)=1) THEN output = 010;ELSIF (di n(3)=1) THEN output = 110;ELSIF (din(4)=1) THEN output = 001;ELSIF (din(5)=1) THEN output = 101;ELSIF (di n( 6)=1) THEN output = 011;ELSE output = 111;END IF ;ELSE output v=

22、ZZZ;END IF;END PROCESS ;END behav;3.38-3 优先编码器仿真及分析八-三优先编码器由VHDL程序实现后,其仿真图如图2-1所示。图3-1 8-3 优先编码器功能仿真图对其仿真图进行仿真分析:din为输入信号组,它由din7-dinO八个输入信 号组成。output为输出信号组,它由output2-output0 三个二进制代码输出信 号组成。enable为使能端,当enable为0时编码器工作,当使能端为1时输出 高阻状态。当din0为1时,即输入为:1*时,输出111,当din0为0 时,输出由优先级仅次于 din0的din1决定,即输入为:01*时,输出

23、110,紧接着依次类推,分别得出输入为: 001*时,输出101,输入为:0001*时,输出100,输入为00001*时,输出为011。到此为止由于使能段 变为高电平,输出信号为高阻状态,但可以推断出当输入为 000001*时,输出010,输入为0000001*时,输出001,输入为00000001时,输出为000。3.4在实验箱上实现8-3优先编码器首先设置八-三优先编码器器下载板芯片引脚,如下图所示图3-2引脚设置示意图试验箱各部分导线链接完毕后开始向实验箱烧录程序,如下图所示图3-3程序烧录示意图如上图所示程序已经成功烧录进芯片中,下面开始在实验箱上实现 8-3编码器的功能。下图为实验箱

24、的具体连线图图3-4实验箱连线示意图由上图可知,使能端为0芯片正常工作,d0至d7输入端均为0,贝U输出端 应为 111,输出结果如下图所示:图3-5输出结果示意图 下面测试使能端为1时芯片的工作情况:图3-6输入端示意图 由上图所示,使能端为1芯片不工作,输入端取任意值,此时输出端应为高阻 状态,输出结果如下图所示:图3-7输出端示意图输出端为咼阻状态,没有灯亮。结论通过两星期的紧张工作,最后完成了我的设计任务一一基于 VHDL勺编码器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性 所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课 本知识,理论联系实

25、际,独立自主的进行设计的能力。它不仅仅是一个学习新 知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践 环节,让同学们学以致用。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误 就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设 计和设计中遇到的问题,也积累了一定的经验,对以后从事工作会有一定的帮 助。在应用VHD啲过程中让我真正领会到了其在电路设计上的优越性。用 VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用 EDA软件进行编译优化仿真极大地减少了电路设计时间

26、和可能发生的错误,降低了开发成本,这 种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。参考文献1杨刚,龙海燕.现代电子技术-VHDL与数据系统设计.北京:电子工业出版社,20042黄仁欣.EDA技术实用教程.北京:清华大学出版社,20063潘松.VHDL实用教程M.成都:电子科技大学出版社,20004李国丽,朱维勇.电子技术实验指导书合肥:中国科技大学出版社,20005 宋振辉.EDA技术与VHDL北京:北京大学出版社,2008 宋振辉.EDA技术与VHDL北京:北京大学出版社,2008 王锁萍.电子设计自动化(EDA教程.成都:电子科技大学出版社,2001东北石油大学课程设计成绩评价表课程名称硬件课程设计题目名称8-3优先编码器学生姓名学号 指导教 张岩学号 师姓名 张岩职称讲师序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工 作量符合教学要求,工作努力,遵守纪律,出 勤率高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析 问题思路清晰,结构严谨,文理通顺,撰写规 范,图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或 有一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语:指导教师:年月日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1