ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:595.04KB ,
资源ID:10517963      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/10517963.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(直接数字合成器.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

直接数字合成器.docx

1、直接数字合成器一、DDS基本原理直接数字式频率合成器(Digital Direct Synthesizer,简称为DDS)是以数字信号处理理论为基础,从信号的幅度相位关系出发进行频率合成的。与传统的频率合成器相比,DDS具有极高的分辨率、快速的频率转换时间、很宽的相对带宽、任意波形的输出能力和数字调制等优点。在数字化的调制解调模块中, 频率合成技术用于电子系统和设备的频率源设计。1、DDS设计思路根据奈奎斯特取样定理,从连续信号的相位出发,对一个正弦信号取样、量化、编码,形成一个正弦函数表,储存在只读存储器中,合成时通过改变相位累加器的频率控制字,改变相位增量,相位增量的不同导致一周期内的取样

2、点不同,从而使得输出频率不同。2、DDS电路工作原理设时钟频率为,输出频率为,累加器的宽度为,输出数据的宽度为,频率控制字,相位控制字。每来一个时钟脉冲,N位加法器将频率控制数据K与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据K相加;另一方面将这个值作为取样地址值送入幅度/相位转换电路,幅度/相位转换电路根据这个地址输出相应的波形数据。最后经D/A转换器和低通滤波器将波形数据转换成所需要的模拟波形。相位累加器在基准时钟的作用下,进行线性相位累

3、加。当相位累加器加满量时就会产生一次溢出,这样就完成了一个周期,这个周期也就是DDS信号的频率周期。输出频率为,时频率最小。3、DDS特点(1)频率分辨率高。DDS的频率分辨率在fc固定时,取决于相位累加器的位数N,只要N足够大,理论上就可以获得相应的分辨精度,这是传统方法难以实现的。(2)频率变换速度快。在DDS中,一个频率的建立时间通常取决于滤波器的带宽。影响因素为相位累加器,ROM内的工艺结构,DA转换器及其它信号处理过程中可能产生的时延。其中,信号处理的时延与时钟周期相关。由于DDS中不要相位反馈控制,频率建立及切换快,与频率分辨率、频谱纯度相互独立,明显优于PPL。(3)DDS中相位

4、改变是线性过程。数字相位累加器是优良的线性数字增值发生器。因此,DDS的相位误差主要依赖于时钟的相位特性,相位误差小。另外,DDS的相位是连续变化的,形成的信号具有良好的频谱特性,这是传统的直接频率合成方法所无法实现的。(4)输出频率范围宽。理论上,DDS输出的频率范围在0fc2,实际上,考虑到低通滤波器的设计,为40fc,而FPGA的时钟频率可达到100MHz,因此,利用FPGA,可以实现输出频率范围很宽的正弦信号。 二、DDS的代码设计本设计是基于Quartus II软件平台的。Quartus II design 是最高级和复杂的,用于system-on-a-programmable-ch

5、ip (SOPC)的设计环境。Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 根据设计要求,在FPGA上实现的电路部分见下图。1、定制ROM1)Matlab生成sin函数数据在Matlab主窗口中输入以下命令,生成data.mif文件存储sin函数数据。累加器的宽度为,输出数据的宽度为。2)Quartus 2生成rom.mif,格式如下图,将Matlab生成的data

6、.mif中数据拷贝到rom.mif中CONTENT BEGIN END之间。3)Quartus 2生成rom.v利用Quartus 2里的MegaWizard Plug-IN Manager 设置相关数据,导入以上生成的rom.mif文件,生成rom.v。注意设置address=8,输出q=10。2、累加器模块的设计累加器模块主要用于rom地址的生成,代码如下:3、Top模块的设计Top模块用于调入acc和rom模块4、建立工程使用Quartus2软件建立DDS工程,调入rom.v,dds.v,acc.v文件三、DDS的FPGA实现本设计所使用的FPGA是Altera中等规模FPGA :Cyc

7、lone(飓风),2003年推出,0.13um工艺,1.5v内核供电,与Stratix结构类似,是一种低成本FPGA系列 ,是目前主流产品,其配置芯片也改用全新的产品。1、模式选择在FPGA板子上选择模式1,键7,键8分别作为清零信号和使能信号,键1、键2构成频率控制字,键3、键4构成相位控制字。2、引脚设置在Quartus软件中选择Assignment下Pins进行引脚设置,具体设置见下图:代码端口信号名称引脚号clearPIO48107clockCLOCK0123enPIO49108freg3:0PIO3-0freg7:4PIO7-4phase3:0PIO11-8phase7:4PIO15

8、-12out0P94out1P91out2P82out3P79out4P62out5P61out6P60out7P59out8P58out9P573、Quartus编译点击Start Complication,编译完成若出现如下情况表示编译成功。3、外围电路连接 由于要将sin函数波形显示出来,所以要用到示波器。将示波器的信号端连接到GWAC3的AOUT(A),接地端接到GWAC3的GND。4、下载调试点击Programmer,导入dds.sof,点击Start开始下载,Process进行到100%时表示下载成功。调试时,在FPGA板子上选择模式1,将键7(清零信号)和键8(使能信号)置于高电

9、平。初始时示波器显示一条直线(如图1),按一下键1产生一个脉冲表示频率控制字加1,按一下键2表示频率控制字加0001_0000,图2图3分别表示按了一次和两次键1之后得示波器图形,图4、5、6是按了多次键1键2之后示波器的波形图。键3、键4构成相位控制字,按键3键4进行相位控制,但是在示波器上没法显示出来的。四、收获感想通过这一周半的课程设计,我对一些专业知识和数字集成电路设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次课程设计主要是对本学年学习的VerilogHDL和超大规模集成电路的应用,同时加上一些设备的应用,设计的课题。经过几天的奋战,我感受很深。1、首先感谢两位老师在整

10、个课程设计过程中给予我们的帮助和支持。老师在百忙之中抽出时间来为我们排忧解难,我们大家都很感动。2、肯定自己在整个设计过程中所做的努力,作为小组负责人,通过资料收集,代码编写,软件的熟悉、上板调试等过程,自身的能力也得到了进一步提高。3、课程设计是个团队活动,我们运用各自在各方面的优势中和起来,形成了一个团队。通过团队力量,才使设计得以完成。可以说,我们四个人是一个不可或缺的整体,少了任何一个人都是无法完成任务的.4、最后需特别强调的是自己在问题出现时,解决问题的能力还很薄弱,还需进一步提高。在课程设计过程中,当自己碰到什么麻烦时,首先想到的是想同学和老师求助,而没有自己主动去寻求解决问题的办法。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1