ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:197.82KB ,
资源ID:10406327      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/10406327.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(通信系统课程设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

通信系统课程设计.docx

1、通信系统课程设计课程设计任务书学生姓名: 程家丰 专业班级: 电信1306 指导教师: 王虹 工作单位: 信息工程学院 题 目: 数字基带通信系统的设计与建模初始条件:(1)MAX+plus、Quartus II、ISE等软件;(2)课程设计辅导书:通信原理课程设计指导(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1)课程设计时间:;(2)课程设计题目:数字基带通信系统的设计与建模;(3)本课程设计统一技术要求:按照要求对题目进行逻辑分析,了解数字基带通信系统,画出绝相变换器与相绝变换器的

2、仿真模型,并记录实验结果波形,对实验结果进行分析;(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;(5)写出本次课程设计的心得体会(至少500字)。时间安排:第19周参考文献:段吉海.数字通信系统建模与设计.北京:电子工业出版社,2004 江国强.EDA技术与应用. 北京:电子工业出版社,2010 John G. Proakis.Digital Communications. 北京:电子工业出版社,2011指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日第一章 Quartus II 软件概述1.1 Quartus II 简介 Qu

3、artusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块

4、,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的

5、丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界到数字系统设计者的欢迎。1.2 quartusII 功能简介Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受可利用原理图、结构框图、VerilogHDL、AHDL和VHD

6、L完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和V

7、erilog网表文件。第二章 数字基带通信系统概述2.1数字基带通信简介 20世纪60年代出现了数字传输技术,它采用了数字信号来传递信息,从此通信进入了数字化时代。目前,通信网已基本实现数字化,在我国公众通信网中传输的信号主要是数字信号。数字通信技术的应用越来越广泛,例如数字移动通信、数字卫星通信、数字电视广播、数字光纤通信、数字微波通信、数字视频通信、多媒体通信等等。数字通信系统主要的两种通信模式:数字频带传输通信系统,数字基带传输通信系统。数字基带信号指未经调制的数字信号,它所占据的频谱是从零频或很低频率开始的。数字基带传输系统指不经载波调制而直接传输数字基带信号的系统,常用于传输距离不太

8、远的情况下。研究数字基带传输系统的原因:实际中,基带传输不如频带传输应用广泛,但对基带传输的研究仍有非常重要的意义。这是因为:第一,数字基带系统在近程数据通信系统中广泛采用;第二,数字基带系统的许多问题也是频带传输系统必须考虑的问题;第三,随着数字通信技术的发展,基带传输这种方式也有迅速发展的趋势,它不仅用于低速数据传输,而且还用于高速数据传输;第四,在理论上,任何一个线性调制的频带传输系统,总是可以有一个等效的基带载波调制系统所替代。因此,很有必要对基带传输系统进行综合系统的分析。2.2数字基带信号 通信的根本任务是远距离传输信息,准确地传输数字信息是数字通信中的一个重要环节。在数字传输系统

9、中,其传输对象通常是二进制数字信息。它可能是来自计算机、网络或其他数字设备的各种数字代码,也可能来自数字电话终端的脉冲编码信号。数字信息在一般情况下可以表示为一个数字序列:,a 2,a,a 1012,an,简记为an。an是数字序列的基本单元,称为码元。每一个码元只能取离散的有限个值,例如在二进制中,an取0或1两个值;在M进制中,an取0,1,M-1等M个值,或者取二进制码的M种排列。由于码元只有有限个可能取值,所以通常用不同幅度的脉冲表示码元的不同取值,例如用幅度为A的矩形脉冲表示1,用幅度为-A的矩形脉冲表示为0。这种脉冲信号被称为数字基带信号,这是因为它们所占据的频带通常从直流和低频开

10、始。2.3 数字基带传输 在数字传输系统中所传输的通常是二元数字信号。设计数字传输系统要考虑的基本想法是选择一组有限个离散的波形来表示数字信息。这些离散波形可以是载波进行调制后的波形,也可以是不经过调制的不同电平信号。来自数据终端的原始数据信号,或者是来自模拟信号经数字化处理后的PCM码组,M序列等等都是基带数字信号。 这些信号往往包含丰富的低频分量。有些场合可以不经过载波调制和解调过程而直接传输,称为基带传输。 系统基带波形被脉冲变换器变换成适应信道传输的码型后,就送入信道,一方面受到信道特性的影响,使信号产生畸变;另一方面信号被信道中的加性噪声所叠加,造成信号的随即畸变。因此,在接收端必须

11、有一个接收滤波器,使噪声尽可能受到抑制,为了提高系统的可靠性,在安排一个有限整形器和抽样判决器组成的识别电路,进一步排除噪声干扰和提取有用信号。对于抽样判决,必须有同步信号提取电路。在基带传输中,主要采用位同步。同步信号的提取方式采用自同步方式(直接法)。同步系统性能的好坏将直接影响通信质量的好坏,甚至会影响通信能否正常进行。2.4 数字基带传输系统 基带传输包含着数字通信技术的许多问题,频带传输是基带信号调制后再传输的,因此频带传输也存在基带问题。基带传输的许多问题,频带传输同样须考虑。理论上还可证明,任何一个采用线性调制的频带传输系统,总是可以由一个等效的基带传输系统来代替。数字基带系统的

12、基本结构如图1.1所示。图2.1 数字基带传输系统信道:允许基带信号通过的媒质。信道的传输特性通常不满足无失真传输条件,恒参信道对信号传输的影响主要是线形畸变;随参信道对信号传输的影响主要有频率弥散现象(多径传播)、频率的选择性衰落。信道的线性噪声和加性噪声的影响。在通信系统的分析中,常常把噪声n(t)等效,集中在信道中引入。接收滤波器:主要作用是滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。抽样判决器:它是在传输特性不理想及噪声背景下,在由位定时脉冲控制的特殊点对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。自同步法的同步提取电路:有两部分组成,包括非线型变换处理电

13、路和窄带滤波器或锁相环。非线型变换处理电路的作用是使接收信号或解调后的数字基带信号经过非线型变换处理电路后含有位同步分量或位同步信息。窄带滤波器或锁相环的作用是滤除噪声和其他频谱分量,提取纯净的位同步信号。2.5 数字基带传输的要求及常用码型 在实际基带传输系统中,并非所有的原始基带数字信号都能在信道中传输。例如,有的信号含有丰富的直流和低频成分,不便提取同步信号;有的信号易于形成码间串扰等。因此,基带传输系统首先面临的问题是选择什么样的信号形式,即传输码型的选择和基带脉冲波形的选择。为了在传输信道中获得优良的传输特性,一般要将信码信号变化为适合于信道传输特性的传输码,即进行适当的码型变换。对

14、传输码型的要求如下:(1)传输信号的频谱中不应有直流分量,低频分量和高频分量也要小。(2)码型中应包含定时信息,有利于定时信息的提取,尽量减小定时抖动。(3)码型变换设备要简单可靠。(4)码型具有一定检错能力,若传输码型有一定的规律性,则可根据这一规律性检测传输质量,以便做到自动检测。(5)编码对信息类型不应有任何限制,即对信源具有透明性。常用的码型有AMI码、HDB3码、分相码、反转码AMI等。第三章 数字基带通信系统的设计与建模3.1 原理介绍 数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式在长距离上直接传输 PCM 信号、用双绞线进行局域网内的计算机数据传输等。 本课

15、题讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝相变换器的基带系统进行设计与建模。对如何在信道中实现可靠传输不做探讨。这主要是因为以 FPGACPLD为目标器件,很难实现对基带传输系统中发送滤波器和接收滤波器的设计。 3.2 含绝相变换器和相绝变换器的基带系统的设计与建模绝相变换和相绝变换电路普遍应用于基带系统的部分响应系统及差分移相键控(DPSK)中,对绝相变换电路模块的建模与设计是具有实际意义的。3.2.1 系统模型绝相变换是指将二进制非归零的数据码元变换成反映其相邻码元的电位变化的一种新的码元 。其变换规则如式(3-1)所示。 (3-1)而相绝变换是绝相变换的反变换,其变换

16、规则如式(3-2)所示。 (3-2)绝相变换和相绝变换的原理图如下(其中Tb为一个码元宽度): 图3.1 绝相变换和相绝变换的原理框图3.3绝相变换器3.3.1 绝相变换器的设计原理绝相变换器的电路如图3-2所示,用D触发器作为码元延迟器。若按3-1所示的绝相变换输出,由于异或门为组合逻辑器件,因此其输出信号可能出现冒险现象,为了克服冒险现象,在后面增加一个D触发器则可保证正确的绝相变换信号输出。其VHDL建模符号如图3-3所示。图中:DATAIN码元输入;CLK时钟输入;DATAOUT绝相变换输出。 图3.2 绝相变换器的电路图图 3.3 绝相变换器的VHDL建模符号3.3.2 绝相变换器的

17、具体设计 3.3.2.1 D触发器D触发器电路程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity D_FF is port(D,CLK:in std_logic; Q:out std_logic);end D_FF;architecture a of D_FF isbeginprocess(CLK) is begin if(CLK event and CLK=1) then Q=D; end if;end process ;

18、end a ;D触发器程序运行仿真电路:图3.4 D触发器电路3.3.2.2 异或门异或门电路程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity xor1 is port(DATAIN,Q:in std_logic; D:out std_logic);end xor1;architecture ex1 of xor1 isbegin D=DATAIN xor Q;end ex1;异或门程序运行仿真结果:图3.5 异或门仿真电

19、路3、绝相变换器的设计绝相变换器电路设计代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity juexiang is port(DATAIN,CLK :in std_logic; DATAOUT :out std_logic);end juexiang;architecture ae of juexiang iscomponent xor1 is port(DATAIN,Q:in std_logic;D:out std_log

20、ic);end component xor1;component D_FF is port(D,CLK:in std_logic;Q:out std_logic);end component D_FF;signal Q,D:std_logic;begin g1:xor1 port map(DATAIN,Q,D); g2:D_FF port map(D,CLK,Q); g3:D_FF port map(D,CLK,DATAOUT);end ae;绝相变换器电路设计代码运行仿真结果3.3.3 绝相变换器的仿真波形图3.6 绝相变换器的仿真电路图3.7 绝相变换器的仿真波形运行后,由于D触发器为上升

21、沿触发的边沿触发器,故在时钟信号上升时触发,每次触发后,判断(即data-in)与(即前一时刻data-out),若相同即此时刻data-out为0,反之为一。如图3.3中75ns时刻时钟处于上升沿,判断此时data-in为1且前一时刻data-out为0,两者不同,故此时刻data-out输出1。由仿真波形图可以看出,设计的绝相变换器有一定的延时,其他基本正确,忽略延时的影响,可以得出真值表如下。Data-in0101Data-out0110表3.1 由仿真波形得出真值表 由上表可知所得仿真波形符合,且以此类推,所有时刻均符合,设计成功。3.3.4 绝相变换器的VHDL建模将此电路元件包装入

22、库即可得绝相变换器的VHDL建模符号如下图:图3.8 绝相变换器的VHDL建模3.4 含相绝变换器的基带系统的设计与建模3.4.1 相绝变换器的电计路设计相绝变换是绝相变换的反变换,其变换规则为。根据表达式画出相绝变换器电路图如图3.5,图中用D触发器作为码元延迟器。另外,为了克服组合逻辑电路带来的冒险现象,在输出端增加了一个 D 触发器。图3.9的相绝变换器的VHDL建模符号如图3.10所示。图中:XDATAIN相对码输入;XCLKIN时钟输入;JDATAOUT绝对码输出。 图3.9 相绝变换器的电路图图3.10 相绝变换器的VHDL建模符号3.4.2 相绝变换器的具体设计设计中要用到的D触

23、发器以及异或门等器件在绝相变换器的设计中已经设计好了。此处只需设计相绝变换器。相绝变换器的程序代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity xiangjue is port(XDATAIN,XCLK:in std_logic; XDATAOUT:out std_logic);end xiangjue;architecture be of xiangjue iscomponent xor1 is port( DATAIN,

24、 Q:in std_logic; D:out std_logic);end component xor1;component D_FF is port( D, CLK:in std_logic; Q:out std_logic);end component D_FF ; signal XD,XQ:std_logic;begin g1:xor1 port map(XDATAIN,XQ,XD); g2:D_FF port map(XDATAIN,XCLK,XQ); g3:D_FF port map(XD,XCLK,XDATAOUT);end be;相绝变换器的程序电路仿真结果 图3.11 相绝变换

25、器的仿真电路图3.4.3相绝变换器的仿真波形图 3.12 相绝变换器的仿真波形仿真运行后,由于D触发器为上升沿触发的边沿触发器,故在时钟信号上升时触发,每次触发后,判断(即data-in)与(即前一触发时刻data-in),若相同即此时刻data-out为0,反之为一。如图3.6中75ns时刻时钟处于上升沿,判断此时data-in为1且前一时刻data-in为0,两者不同,故此时刻data-out输出1。由以上波形图可以得到相绝变换器的真值表如下Data-in0101001100011Data-out0111101010010表3.2 相绝变换器的真值表根据真值表和仿真波形可知所得仿真波形符合

26、,且以此类推,所有时刻均符合,设计成功。3.5 绝相/相绝变换的基带系统建模与仿真3.5.1 绝相/相绝的基带系统的建模 绝相/相绝变换的基带系统的VHDL设计模型如图3-8所示。图中将以上设计的绝相变换器和相绝变换器连接起来,构成了一个基带系统。为了仿真方便,图中采用了同一时钟,而在实际的系统中,通常需要在接收端进行同步时钟信号提取。图中:JUE_X_OUT绝相变换输出(相对码);DATA_IN绝对码输入;CLK_IN时钟输入;DATA_OUT绝对码输出。 图3.13 绝相/相绝变换的基带系统的VHDL设计模型3.5.2 绝相/相绝变换的具体设计: 绝相/相绝程序代码library IEEE

27、;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity bianhuan is port(DATA_IN,CLK_IN:in std_logic; DATA_OUT :out std_logic JUE_X_OUT:std_logic);end bianhuan;architecture ce of bianhuan iscomponent juexiang is port(DATAIN,CLK :in std_logic;DATAOUT :out std_

28、logic);end component juexiang;component xiangjue is port(XDATAIN,XCLK:in std_logic;XDATAOUT:out std_logic);end component xiangjue ; begin g1:juexiang port map(DATA_IN,CLK_IN,JUE_X_OUT); g2:xiangjue port map(JUE_X_OUT,CLK_IN,DATA_OUT);end ce;绝相/相绝变换器的电路仿真图:图3.14 绝相/相绝变换的电路3.5.3 绝相/相绝变换的仿真波形图3.15 绝相/相

29、绝变换的仿真波形仿真波形如图3.11所示。图中显示了绝对码输入、相对码输出(绝相变换后)和经相绝变换后的绝对码输出。可知该系统经过绝相和相绝变换后能正确地恢复原绝对码信号。第4章 心得体会经过两周的通信原理课程设计 ,我确实收获了不少,也有了不少的进步。在通信原理课程设计即将结束之时,我对在这一周来的学习与设计进行了总结,总结这一周来的收获与不足。取之长、补之短,在今后的学习和工作中有所受用。课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程,通过课程设计我们能够比较系统的了解理论知识,把理论和实践相结合,并且用

30、到生活当中。 在这周通信原理课程设计的学习中,让我受益颇多。一、让我养成了预习的好习惯,培养了我的动手能力。“课程设计就是为了让你动手做,去探索一些你未知的或是你尚不是深刻理解的东西。”每个步骤我都亲自去做,不放弃每次锻炼的机会。经过这一周,让我的动手能力有了明显的提高。三、让我在探索中求得真知。数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式在长距离上直接传输 PCM 信号、用双绞线进行局域网内的计算机数据传输等。本课题设计讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝相变换器的基带系统进行设计与建模。对如何在信道中实现可靠传输不做探讨。这主要是因为以 FPGACPLD为目标器件,很难实现对基带传输系统中发送滤波器和接收滤波器的设计。对于这个课程设计,我在探索中学习、在实践中掌握。 在做设计的过程中总会出现各种问题,在这种情况下我们都会努力寻求最佳路径解决问题,无形间提高了我们的动手,动脑能力,并且同学之间还能相互探讨问题,研究解决方案,增进大家的团队意识。 通过课程设计让我知道了,我们平时所学的知识如果不加以实践的话等于纸上谈兵。课程设计主要是我们理论知识的延伸,它的目的主要是要在设计中发现问题,并且自己要能找到解决问题的方案,形成一种独立的意识。我们还能从设

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1