ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:27.44KB ,
资源ID:10307520      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/10307520.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(UART串口通信实验报告.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

UART串口通信实验报告.docx

1、UART串口通信实验报告实验四 UART串口通信学院:研究生院 学号:1400030034 姓名:张秋明一、 实验目的及要求设计一个UART串口通信协议,实现“串并”转换功能的电路,也就是“通用异步收发器”。二、 实验原理UART是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。在嵌入式设计中,UART用来主机与辅助设备通信,如汽车音响与外接AP之间的通信,与PC机通信包括与监控调试器和其它器件,如EEPROM通信。UART作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一位接一位地传输。其中各位的意义如下:起始位:先发出一个逻辑”0”的信号,表示传输字

2、符的开始。资料位:紧接着起始位之后。资料位的个数可以是4、5、6、7、8等,构成一个字符。通常采用ASCII码。从最低位开始传送,靠时钟定位。奇偶校验位:资料位加上这一位后,使得“1”的位数应为偶数(偶校验)或奇数(奇校验),以此来校验资料传送的正确性。停止位:它是一个字符数据的结束标志。可以是1位、1.5位、2位的高电平。 由于数据是在传输线上定时的,并且每一个设备有其自己的时钟,很可能在通信中两台设备间出现了小小的不同步。因此停止位不仅仅是表示传输的结束,并且提供计算机校正时钟同步的机会。适用于停止位的位数越多,不同时钟同步的容忍程度越大,但是数据传输率同时也越慢。空闲位:处于逻辑“1”状

3、态,表示当前线路上没有资料传送。波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol)。一个符号代表的信息量(比特数)与符号的阶数有关。例如资料传送速率为120字符/秒,传输使用256阶符号,每个符号代表8bit,则波特率就是120baud,比特率是120*8=960bit/s。这两者的概念很容易搞错。三、 实现程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity uart is port(clk : in s

4、td_logic; -系统时钟 rst_n: in std_logic; -复位信号 rs232_rx: in std_logic; -RS232接收数据信号; rs232_tx: out std_logic -RS232发送数据信号;);end uart;architecture behav of uart iscomponent uart_rx port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 rs232_rx: in std_logic; -RS232接收数据信号 clk_bps: in std_logic; -此时clk

5、_bps的高电平为接收数据的采样点 bps_start:out std_logic; -接收到数据后,波特率时钟启动置位 rx_data: out std_logic_vector(7 downto 0); -接收数据寄存器,保存直至下一个数据来到 rx_int: out std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送); end component; component speed_select port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 clk_bps: out std_logic;

6、-此时clk_bps的高电平为接收或者发送数据位的中间采样点 bps_start:in std_logic -接收数据后,波特率时钟启动信号置位); end component; component uart_tx port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 rs232_tx: out std_logic; -RS232接收数据信号 clk_bps: in std_logic; -此时clk_bps的高电平为接收数据的采样点 bps_start:out std_logic; -接收到数据后,波特率时钟启动置位 rx_dat

7、a: in std_logic_vector(7 downto 0); -接收数据寄存器,保存直至下一个数据来到 rx_int: in std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去); end component; signal bps_start_1:std_logic; signal bps_start_2:std_logic; signal clk_bps_1:std_logic; sig

8、nal clk_bps_2:std_logic; signal rx_data:std_logic_vector(7 downto 0); signal rx_int:std_logic; begin RX_TOP: uart_rx port map(clk=clk, rst_n=rst_n, rs232_rx=rs232_rx, clk_bps=clk_bps_1, bps_start=bps_start_1, rx_data=rx_data, rx_int=rx_int ); SPEED_TOP_RX: speed_select port map(clk=clk, rst_n=rst_n,

9、 clk_bps=clk_bps_1, bps_start=bps_start_1 ); TX_TOP:uart_tx port map(clk=clk, -系统时钟 rst_n=rst_n, -复位信号 rs232_tx=rs232_tx, -RS232发送数据信号 clk_bps=clk_bps_2, -此时clk_bps的高电平为发送数据的采样点 bps_start=bps_start_2, -接收到数据后,波特率时钟启动置位 rx_data=rx_data, -接收数据寄存器,保存直至下一个数据来到 rx_int=rx_int -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发

10、送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去); SPEED_TOP_TX: speed_select port map(clk=clk, rst_n=rst_n, clk_bps=clk_bps_2, bps_start=bps_start_2 ); end behav;-3个子模块-异步接收模块- library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity uart_r

11、x is port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 rs232_rx: in std_logic; -RS232接收数据信号 clk_bps: in std_logic; -此时clk_bps的高电平为接收数据的采样点 bps_start:out std_logic; -接收到数据后,波特率时钟启动置位 rx_data: out std_logic_vector(7 downto 0); -接收数据寄存器,保存直至下一个数据来到 rx_int: out std_logic -接收数据中断信号,接收数据期间时钟为高电平,传

12、送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去);end uart_rx;architecture behav of uart_rx is signal rs232_rx0: std_logic; signal rs232_rx1: std_logic; signal rs232_rx2: std_logic; signal rs232_rx3: std_logic; signal neg_rs232_rx:std_logic; signal bps_start_

13、r:std_logic; signal num:integer; signal rx_data_r:std_logic_vector(7 downto 0); -串口接收数据寄存器,保存直至下一个数据到来begin process(clk,rst_n) begin if (rst_n=0)then rs232_rx0=0; rs232_rx1=0; rs232_rx2=0; rs232_rx3=0; else if (rising_edge(clk) then rs232_rx0=rs232_rx; rs232_rx1=rs232_rx0; rs232_rx2=rs232_rx1; rs232

14、_rx3=rs232_rx2; end if; end if; neg_rs232_rx =rs232_rx3 and rs232_rx2 and not(rs232_rx1)and not(rs232_rx0); end process; process(clk,rst_n) begin if (rst_n=0)then bps_start_r=0; rx_int=0; else if (rising_edge(clk) then if(neg_rs232_rx=1) then -接收到串口数据线rs232_rx的下降沿标志信号 bps_start_r=1; -启动串口准备数据接收 rx_i

15、nt=1; -接收数据中断信号使能 else if(num= 15) and (clk_bps=1) then -接收完有用数据信息 bps_start_r=0; -数据接收完毕,释放波特率启动信号 rx_int=0; -接收数据中断信号关闭 end if; end if; end if; end if; bps_start=bps_start_r; end process; process(clk,rst_n) begin if (rst_n=0)then rx_data_r=00000000; rx_data=00000000; num=0; else if (rising_edge(cl

16、k) then if(clk_bps=1)then numrx_data_r(0)rx_data_r(1)rx_data_r(2)rx_data_r(3)rx_data_r(4)rx_data_r(5)rx_data_r(6)rx_data_r(7)rx_datanumnull; end case; if(num=15) then num=0; end if; end if; end if; end if; end process; end behav; -波特率控制模块- library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_

17、arith.all;use ieee.std_logic_unsigned.all;entity speed_select is port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 clk_bps: out std_logic; -此时clk_bps的高电平为接收或者发送数据位的中间采样点 bps_start:in std_logic -接收数据后,波特率时钟启动信号置位或者开始发送数据时,波特率时钟启动信号置位);end speed_select;architecture behav of speed_select issign

18、al cnt:std_logic_vector(12 downto 0);signal clk_bps_r:std_logic;constant BPS_PARA:integer:=5207;constant BPS_PARA_2:integer:=2603;begin process(clk,rst_n) begin if (rst_n=0)then cnt=0000000000000; else if (rising_edge(clk) then if(cnt=BPS_PARA)or(bps_start=0) then cnt=0000000000000; -波特率计数器清零 else c

19、nt=cnt+1; -波特率时钟计数启动 end if; end if; end if; end process; process(clk,rst_n) begin if (rst_n=0)then clk_bps_r=0; else if (rising_edge(clk) then if(cnt=BPS_PARA_2) then clk_bps_r=1; -clk_bps_r高电平为接收数据位的中间采样点,同时也作为发送数据的数据改变点 else clk_bps_r=0; -波特率计数器清零 end if; end if; end if; clk_bps=clk_bps_r; end pr

20、ocess; end behav; -异步发送模块 - library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity uart_tx is port(clk : in std_logic; -系统时钟 rst_n: in std_logic; -复位信号 rs232_tx: out std_logic; -RS232接收数据信号 clk_bps: in std_logic; -此时clk_bps的高电平为接收数据的采样点 bps_start:out std_logic; -接收到数据后,波特率时钟

21、启动置位 rx_data: in std_logic_vector(7 downto 0); -接收数据寄存器,保存直至下一个数据来到 rx_int: in std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去);end uart_tx;architecture behav of uart_tx is signal rx_int0: std_logic; signal rx_int1: std_logi

22、c; signal rx_int2: std_logic; signal neg_rx_int:std_logic; signal bps_start_r:std_logic; signal num:integer; signal tx_data:std_logic_vector(7 downto 0); -串口接收数据寄存器,保存直至下一个数据到来begin process(clk,rst_n) begin if (rst_n=0)then rx_int0=0; rx_int1=0; rx_int2=0; else if (rising_edge(clk) then rx_int0=rx_i

23、nt; rx_int1=rx_int0; rx_int2=rx_int1; end if; end if; neg_rx_int =not(rx_int1)and (rx_int2); end process; process(clk,rst_n) begin if (rst_n=0)then bps_start_r=0; tx_data=00000000; else if (rising_edge(clk) then if(neg_rx_int=1) then -接收到串口数据线rs232_rx的下降沿标志信号 bps_start_r=1; -启动串口准备数据接收 tx_data=rx_da

24、ta; -接收数据中断信号使能 else if(num= 15) and (clk_bps=1) then -接收完有用数据信息 bps_start_r=0; -数据接收完毕,释放波特率启动信号 end if; end if; end if; end if; bps_start=bps_start_r; end process; process(clk,rst_n) begin if (rst_n=0)then rs232_tx=1; num=0; else if (rising_edge(clk) then if(clk_bps=1)then numrs232_txrs232_txrs232_txrs232_txrs232_txrs232_txrs232_txrs232_txrs232_txrs232_txnumnull; en

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1