ImageVerifierCode 换一换
格式:DOCX , 页数:40 ,大小:711.95KB ,
资源ID:10024250      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/10024250.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(MTD雷达中多普勒滤波器组的设计与实现.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

MTD雷达中多普勒滤波器组的设计与实现.docx

1、MTD雷达中多普勒滤波器组的设计与实现中文摘要 1英文摘要 21引言 31.1研究背景及意义 31.2国内外研究现状 41.3本设计的指导思想和主要工作 42动目标检测(HTD)雷达基本原理 62.1多普勒效应 62.2动目标检测(MTD)雳达的工作原理 82.2.1动目标显示(HTI)雷达的工作原理 82.2.2动目标检测(MTD)雷达的工作原理 103MTD多普勒滤波器组的设计 133.1 加权DFT实现MTD滤波 133.1. 1 DFT滤波器分析 133.1. 2窄带滤波器组信号处理的优点 153.2 FIR实现MTD多普勒滤波器 163.2. 1 设计思路 173.2. 2 MTD多

2、普勒滤波器组的设计 173.3 MTD/MTI雷达的性能评价指标 204MTD雷达中预处理模块设计 234.1 乒乓操作 234.1. 1乒乓操作的处理流程 234.1.2 乒乓操作的特点 234.1.3 乒乓操作的应用 254.2 MTD雷达匹配滤波器的总体结构 264.3 MTD雷达中预处理模块设计 274.3.1多路选择器的设计 284.3.2计数器的设计 304. 3. 3 MTD雷达预处理模块设计 32总 结 34谢 辞 34参考文献 35 摘要:在高科技战争中,探测敌方的进攻LI标(如飞机、导弹、舰艇等)是一个 重要问题。它实际上是一个解决在密集的杂乱回波中发现感兴趣的U标的 问题

3、,即所谓的动目标检测(MTD) o本文对MTD雷达技术的核心(多普勒滤波器组)进行了深入的研究和 设计。文章主要分为以下四个部分:首先,本文对研究课题的背景及其意义进行了一个大概的说明。其次, 对动U标检测(MTD)雳达的基本原理进行了全面而详细的介绍,如MTD的主 要功能,其中,对于与MTD极其相关的动LI标显示(MTI)也进行了一个简要 的介绍。再次,对MTD雷达技术的核心(多普勒滤波器组)进行了设计和分 析,文中应用了加权DFT和FIR这两种方法实现MTD滤波,并给出了 MTD 性能评价指标。最后,对MTD滤波器输入数据的存储这一问题用预处理乒 乓操作进行了设计,并对仿真结果进行了分析和

4、说明。关键词:动LI标检测(MTD),动LI标显示(MTI),滤波器,乒乓操作,设计Abstract: In high-tech warfare, detecting enemy s offensive goals (such as aircraft, missiles, ships, etc )is an imports nt i ssue In fact, it is a solution about how to found the interesting objectives in the intensive mess echo. , the so-called Moving Targ

5、et Detection (MTD).In this paper, I spent much time and many words on the research of the core of MTD radar technology-一the design of Doppler Filter Team The article is divided into four main parts:First, There is a general description about the background of this topic and its significance Secondly

6、, the basic principles of the Moving Target Detection (MTD) radar are comprehensive and detailed introduced, such as the main function of MTD In addition, the Moving Target Indication(MTI) which is Extremely relevant with MTD is also conducted a brief introduction. Thirdly, There are some design and

7、 analysis that I did on the MTD radar technology core (Doppler Filter Team), and the two approaches DFT and FIR were applicated in achieving MTD filter At the same time some of the MTD performance evaluation indicators were given. Finally, how to store the input data of MTD filter in the design was

8、designed with the ping-pong operation, and the simulation results were analysed and describedKeyword: Moving Target Detection (MTD), Moving Target Indication(MTI),Filter, Ping-pong operation , Design1引言1.1研究背景及意义雷达是用来发现I标和测量LI标的无线电电子系统。雷达工作的物理基础是 物体对电磁波的反射现象。雷达的两个主要特征是,它具有远距离探测LI标和对 I标进行较高精度定位的能力。虽然

9、雷达技术主要因军事应用而得到发展,但它 也在许多民用领域中得到了应用,比如船舶和飞机的导航就是典型的例子。从50年代末以来,由于航空与航天技术的飞速发展,飞机、导弹、人造卫 星及宇宙飞船等釆用雷达作为探测和控制的手段,尤其是在60年代研制的反洲 际弹道导弹系统,对雷达提出了高精度、远距离、高分辨率及多LI标测量等要求。 山于解决了一系列的关键性问题,雷达进入蓬勃发展的新阶段,如脉冲压缩技术 的采用;单脉冲雷达和相控阵雷达研制的成功;脉冲多卜勒雷达体制的研制成功, 使雷达能测量U标的位置和相对运动速度,并具有良好的抑制地物去干扰等的能 力;山于雷达中数字电路的广泛应用和计算机与雷达的配合使用,使

10、雳达的结构 组成和设计发生了根本性的变化。雷达采用这些先进技术后,工作性能大为提高。 60年代相控阵雷达技术大量用于战术雷达,这期间研制成功的主要相控阵雷达, 包括美国陆军的“爱国者”、海军的“宙斯盾”等。进入90年代,尽管冷战结束, 但局部战争仍然不断,特别是山于海湾战争的刺激,雷达乂进入了一个新的发展 时期;对雷达观察隐身U标的能力、在反辐射导弹(ARM)与电子战(CEW)条件下的 生存能力和工作有效性提出了更高的要求,对雷达测量LI标特征参数和进行LI标 分类、口标识别有了更强烈的需求。随着微电子和汁算机的高速发展,雳达的技 术性能也在迅速提高,在军事上的应用进一步扩大。雷达是在不断发展

11、变化的: 一方面它综合应用各种新技术、新器件来完善和提高自身的性能,另一方面不断 出现的各种新技术的应用,也促使雷达不断的改善。在现代高技术战争条件下,雷达所面临的电磁信号环境越来越复杂,雷达在 复杂电磁环境下的生存能力便成为衡量雷达性能指标的重要标志。为了抵御各种 有源和无源干扰,现代雷达采取了各种各样的抗干扰措施,概括起来,可以归纳 为时域抗干扰、频域抗干扰和空域抗干扰三个方面。雷达信号的频域抗干扰措施主要包括动LI标显示(MTT)、动LI标检测(MTD) 和脉冲多普勒(PD)技术等。广义讲,HTD是PD的一种特例,一般认为MTD是一 种低重复频率的PD处理。但MTD与PD处理的基木原理是

12、相同的。现在,MTD处 理己成为雷达抗干扰(尤其是抗杂波干扰和箔条干扰)的重要手段,在现代雷达中 得到了广泛的应用,发挥着不可替代的作用。1.2国内外研究现状1974年美国麻省理工学院林肯实验室研制出了新型的需达信号频域处理装 置一一动U标检测器,为第一代MTD。它主要由一个传统的三脉冲MTI对消器级 联一个8点FFT构成,这一 8点FFT等效为一组相邻有覆盖的窄带滤波器组,它 实际上就是用作脉冲串回波相参积累的匹配滤波器。第二代MTD在70年代末推出,它的主要改进是:其一,在匹配滤波处理后 对同一单元不同滤波器频道的输出分别进行自适应门限调整,即分频道CFAR处 理;其二,增加了所谓“饱和/

13、干扰”试验电路,用于封锁特别强干扰的对应距 离单元的输出;其三,具备了一定的气象估测能力,这主要是ATC需达所要求的。更新一代的动LI标检测是自适应MTD(AMTD),其关键是实时检测朵波的存在, 判定杂波强度(如强/中/弱)其至特性,据此对存储的杂波图进行修正,并自动产 生或选择滤波器加权因子,以期在保证对地杂波的高度抑制的前提下,尽量减小 对气象(如雨)杂波的灵敬性(低的多普勒旁瓣),并具有最小的主瓣宽度和最小的 信噪比(SNR)损失。U前MTD技术己成为雷达数字信号处理机的核心技术。伴随着视频数字集成 电路,特别是FFT, DSP以及PLD硬件的发展,使MTD技术得以在脉冲多普勒(PD)

14、 雷达引信信号处理机的硬件上实现,并使引信在1-加s内完成对背景干扰下动LI 标的三维探测和对回波更具细节的检测与识别成为可能,这大大提高了系统的信 噪比和信干比。1.3本设计的指导思想和主要工作本文对MTD的核心技术(多普勒滤波器组)进行了设计,多普勒滤波器组的设 计首先要解决的问题是滤波器的设计,而滤波器的设计方法多种多样,其中最常 用的方法有三种:窗函数设汁法、频率抽样设计法和切比雪夫加权设计法。本文 在设计滤波器时应用了窗函数法,选择了相对理想的窗口(汉明窗),从仿真出来 的结果看,还很理想,其旁瓣较低,主瓣宽度也比较窄。各个滤波器设计好之后, 接下来就是对各个滤波器进行加权处理,实现

15、多普勒滤波器组。实验结果表明, 所设计的多普勒滤波器组,具有滤波精度高、处理速度快、可编程特性好的特点。多普勒滤波器组的实现另一个比较核心的问题是滤波器输入数据的存储,山 于MTD雷达原理的别样性,文中采用了预处理乒乓操作对MTD滤波器输入数据进 行存储。由于预处理乒乓操作通过“输入数据选择单元”和“输出数据选择单元” 按节拍、相互配合的切换,所以经过缓冲的数据流没有停顿地送到“数据流运算 处理模块”进行运算与处理。这样不仅节省了很多等待的时间,而且也节约了缓 冲区空间。在对MTD预处理模块设计时,设计一个具有控制功能的多路选择器和计数器 是本课题的关键,所以这两个小模块的设计是MTD预处理模

16、块设计中心问题。2动目标检测(MTD)雷达基本原理雷达要探测的U标,通常是运动着的物体,例如空中的飞机、导弹,海上的 舰艇,地面的车辆等。但在!_!标的周围经常存在着各种背景,例如各种地物、云 雨、海浪及敌人施放的金属丝干扰等。这些背景可能是完全不动的,如山和建筑 物,也可以是缓慢运动的,如有风时的海浪和金属丝干扰,一般来说,其运动速 度远小于U标。这些背景所产生的回波称为杂波或无源干扰。当杂波和运动U标回波在雷达显示器上同时显示时,会使LI标的观察变得很 困难。如果L1标处在杂波背景内,弱的U标湮没在强杂波中,特别是当强朵波使 接受系统产生过载时,发现H标十分困难。口标不在杂波背景内时,要在

17、成片朵 波中很快分辨出运动LI标回波也不容易。如果雷达终端采用自动检测和数据处理 系统,则由于大量杂波的存在,将引起终端过载或者不必要地增大系统的容量和 复杂性。因此,无论从抗干扰或改善雷达工作质量的观点来看,选择运动LI标回 波而抑制固定杂波背景都是一个很重要的问题。区分运动L1标和固定杂波的基础是它们在速度上的差别。山于运动速度不同 而引起回波信号频率产生的多普勒频移不相等,这就可以从频移上区分不同速度 LI标的回波。在动LI标显示(MTI)和动LI标检测(MTD)雷达中使用了各种滤波器, 滤去固定杂波而取出运动U标的回波,从而大大改善了在杂波背景下检测运动口 标的能力,并且提高了雷达的抗

18、干扰能力。2.1多普勒效应在阐述MTD雷达原理之前,我们先来看一下多普勒效应这一概念。多普勒效应是指当发射源和接收者之间有相对径向运动时,接收到的信号频 率将发生变化。这一物理现象首先在声学上山物理学家克里斯顿多普勒于1842 年发现的。1930年左右开始将这一规律运用到电磁波范用。雳达应用日益广泛 及对其性能要求更加提高,推动了利用多普勒效应来改善雷达工作质量的进程。下面研究当雷达与L1标有相对运动时,雷达站接收信号的特征。为方便计, 设目标为理想“点”目标,即目标尺寸远小于雷达分辨单元。这里我们只考虑雷达发射连续波的惜况,这时发射信号可表示为=2;r2/?/c (2-1)式中,为发射角频率

19、;卩为初相;A为振幅。在雷达发射站处接收到山U标发射的回波信号为(0 = ks(t-tr) = kA cosg, (/ -) + 0 (2-2)式中,tr=2R/c,为回波滞后于发射信号的时间,其中R为目标和雷达站间的 距离;c为电磁波传播速度,在自山空间传播时它等于光速;&为回波的衰减系 数。如果固定LI标不动,则距离R为常数。回波与发射信号之间有固定相位差 严2,2R/c = (2切小2R,它是电磁波往返于雷达与目标之间所产生的相位 滞后。当口标与雷达站之间有相对运动时,则距离随时间变化。设LI标以匀速相 对雷达站运动,则在时间f时刻,U标与雳达站间的距离R为R(t) = Rn-vrt (

20、2-3)式中,心为时的距离;气为目标相对雷达站的径向运动速度。(2-4)(2-5)(2-6)式(2-2)说明,在时刻接收到的波形上的某点,是在时刻发射的。 山于通常雷达和U标间的相对运动速度匚远小于电磁波速度c,故时延一可近似 写为C C回波信号比起发射信号来,高频相位差2 2严是时间,的函数,在径向速度I,为常数时,产生频率差为丄亚亠d 2” dt 2 r这就是多普勒频率,它正比于相对运动的速度匚而反比于工作波长久。当LI 标飞向雷达站时,多普勒频率为正值,接收信号频率高于发射信号频率,而当LI 标背离雷达站飞行时,多普勒频率为负值,接收信号频率低于发射信号频率。多普勒频率可以直观地解释为:

21、振荡源发射的电磁波以恒速c传播,如果接 收者相对于振荡源是不动的,则他在单位时间内收到的振荡数LI与振荡源发出的 相同,即二者频率相等。如果振荡源与接收者之间有相对接近的运动,则接收者 在单位时间内收到的振荡数U要比他不动时多一些,也就是接收频率增高;当二 者作背向运动时,结果相反。2.2动目标检测(MTD) 达的工作原理上面我们引出了多普勒频率这一概念,下面我们来介绍一下运动U标检测 (MTD)雷达的基本原理。首先我们先来看一下与动LI标检测(MTD)极其相关的动訂 标显示(MTI)雷达的工作原理。2. 2.1动目标显示(MTI)雷达的工作原理MTI (Moving Target Indic

22、ation)即运动目标显示,其本质含义是:基于回 波多普勒信息的提取而区分运动L1标与固定U标(包括低速运动的杂波等)。当脉 冲雷达利用多普勒效应来鉴别运动U标回波和固定LI标回波时,与普通脉冲雷达 的差别是必须在相位检波器的输入端加上基准电压(或称相参电压),该电压应和 发射信号频率相参并保存发射信号的初相,且在整个接收信号期间连续存在。工 程上,基准电压的频率常选在中频。这个基准电压是相位检波器的相位基准,各 种回波信号均与基准电压比较相位。从相位检波器输出的视频脉冲,有固定标 的等幅脉冲吊和运动LI标的调幅脉冲串。通常在送到终端(显示器或数据处理系 统)去之前要将固定杂波消去,故要采用相

23、消设备或杂波滤波器,滤去杂波干扰 而保存运动目标信息。因此,MTI通常包括两个最基本的部分,即完成多普勒信息提取的相参处理 与完成U标区分的对消处理(有时乂称滤波处理)。下面主要介绍一下固定杂波消 除这个问题。在相位检波器输出端,固定LI标的回波是一串振幅不变的脉冲,而运动LI标 的回波是一串振幅调制的脉冲。将它们加到偏转调制显示器上,固定LI标回波是 振幅固定的脉冲,而运动H标回波呈现上下“跳动”的“蝴蝶效应”。可以根据 这种波形持点,在偏转显示器上区分固定标与运动口标。如果要把回波信号加 到亮度调制显示器或终端数据处理设备,则必须先消除固定U标回波。最直观的 一种办法是将相邻重复周期的信号

24、相减,则固定U标回波山于振幅不变而互相抵消;运动I标回波相减后剩下相邻重复周期振幅变化的部分输出。山相位检波器输出的脉冲包络为w = Uo cos (p (27)式中,0为回波与基准电压之间的相位差,(p = U = - 小=如一 0, (2-8)C回波信号按重复周期7;出现,将回波信号延迟一周期后,其包络为It = U cos(od(t-Tr)-(p (2-9)相消器的输出为两者相减,= 2U(, sin()sin(codt - (2T0)输出包络为一多普勒频率的正弦信号,其振幅为2/sin 込 (2-11)也是多普勒频率的函数。当7;/2 =血(八=123)时,输出振幅为零。这时的I标速度

25、正相当于盲速。盲速时的运动口标回波在相位检波器的输出端与固定H 标回波相同,因而经相消设备后输岀为零,如图2.1延迟相消设备及输岀响应曲线所示。(c)图2.1迟延相消设备及其输出响应(a)组成框图:(b)速度响应;(c)频率响应特性相消设备也可以从频率域滤波器的观点来说明,而且为了得到更好的杂波抑 制性能,常从频率域设汁较好的滤波器来达到。下面求出相消设备的频率响应特 性。输出为心=乞(1-*嗣) (2-12)网络的频率响应待性为K(jco) = = (1 - eje,Tr ) = (1- cos coTr) + j sin coTr = 2sin 7tfTre 2 (2-13)其频率响应特性

26、如图2.1(c)所示。相消设备等效于一个梳齿形滤波器,其频率特性在f = nfr各点均为零。固 定LI标频谱的特点是:谱线位于点上,因而在理想情况下,通过相消器这样 的梳齿滤波器后输出为零。当LI标的多普勒频率为重复频率整数倍时,其频谱结 构也有相同的特点,故通过上述梳状滤波器后无输出。2. 2. 2动目标检测(MTD)雷达的工作原理1、MTD的主要功能和要求上一节讨论了动LI标显示(MTI)的原理,这一章将系统介绍动口标检测(MTD) 技术。MTD与MTI虽同属雷达信号的频域处理范畴,但一般意义上说,MTD是MTI 的改进或更有效的频域处理技术。这种基于杂波与运动訂标多普勒频率差别的信 号处

27、理大致经过了一个曲模拟MTIt数字MTI(DMTI)t线性MTIt自适应 MTWMTDT自适应MTD(AMTD)的发展里程。而广义地讲,MTD处理乂是脉冲多普 勒(PD)处理的一种特殊形式。与传统MTI相比,MTD主要依鼎信号处理的潜在能 力,在以下三个方面作了改进:(1)、改善滤波器的频率特性,使之更接近于最佳(匹配)线性滤波,以提高 改善因子;(2)、能够检测强地物杂波中的低速U标祺至切向飞行的大U标;(3)、不仅能抑制平均多普勒频移通常等于零的固定朵波,而且还能抑制如 气象、鸟群等引起的运动(慢动)杂波。早期的动U标显示雷达性能不高,其改善因子一般在20dB左右。这是山多 方面因素造成的

28、:锁相相参系统的高频稳定性不够、接收系统的非线性限幅、釆 用模拟延迟线时通常只能作一次相消且性能不稳亦不能实现最佳滤波等。山雷达 原理所知,当雷达高频系统稳定性不高时,将使固定朵波回波谱产生一部分接近 均匀谱的杂散分量,而限制改善因子可能达到的更大值。当雳达采用全相参体制 或者是用信号处理的方法(如DSU)来改善锁相相参系统的高频稳定性后,其性能 会有明显的提高。从口前情况来看,全相参系统的高频稳定性已可做到不再成为 改善因子的障碍。在信号处理方面当采用数字延迟线代替模拟延迟线实现MTI 后,其工作稳定、可靠,这种DMTI系统还广泛采用了 I,Q正交双通道处理和高 阶数字滤波器来得到合适的滤波

29、特性。DMTI已比早期模拟MTI在性能上有了较 大的改善。但它的滤波特性还不是最佳线性滤波特性,且非线性中放限幅制约了 改善因子的进一步提高。围绕着这两个问题的研究,提出了具有信号匹配滤波特 性的窄带多普勒滤波器组及具有大动态范圉的线性MTI的处理技术,再加上高速 集成电路技术的发展和FFT算法的实际应用等,促成了动LI标检测处理器的研制 成功。实质上,MTD的核心就是线性DMTI加窄带多普勒滤波器组。2、雷达信号的最佳滤波:窄带多普勒滤波器组处理当杂波功率谱C(/)和信号频谱S(/)已知时,最佳滤波器的频率响应是C(/)(2-14)这实际上就是基于色噪声(这里称为杂波)白化处理的匹配滤波器。

30、这一滤波器可分成两个级联的滤波器厲(/)和H/),其传递函数分别为|h,(/)|2=FT77 H2(f) = H;屮 S屮严 5 (2-16)可以粗略地认为,0(/)用于杂波抑制,而丹2(/)用于对雷达回波脉冲串信号匹 配。对MTI而言,它要使杂波得到抑制而让各种速度的运动标信号通过,所以 MTI滤波器即相当于/.(/);至于和U标信号的匹配,对单个脉冲可用中频带通 放大器来保证,而对脉冲串则只能采用对消后的非相参积累,这离式(2-10)的要求差距较大。所以实际能做到的大多数MTI滤波器,只能使其滤波特性的凹口对 准杂波梳状谱的中心,且使二者宽度基本相当。有时也将这称为杂波抑制准最佳 滤波。对

31、于相参脉冲串信号,H,/)还可进一步表示成H2(/) = /21(/)/22(/) (2-17)即信号匹配滤波器为H21(/)和日22(/)两个滤波器级联。式中H21(/)为单个脉冲 的匹配滤波器,通常山接收机中放实;H22(f)专对相参脉冲吊进行匹配滤波, 它利用了回波脉冲串的相位特性而进行相参积累。根据有关回波脉冲串的频谱分 析可以想象/722(/)应是梳齿形滤波器,齿的间隔为脉冲重复频率/;,齿的位置 取决于回波信号的多普勒频移,而齿的宽度则应和回波谱线宽度相一致。要对回波相参脉冲串作匹配滤波,必须知道LI标的多普勒频移以及天线扫描 对脉冲串的调制情况(亦即信号的时宽,对简单信号而言它决

32、定信号的频宽)。实 际情况中,多普勒频移不能预知,因此需要采用一组相邻且部分重叠的滤波器组,覆盖整个多普勒频率范圉,这就是窄带多普勒滤波器组。见图2. 2。图2.2动目标显示滤波器和多普勒滤波骼组的特性(R动目标显示滤波:(b)多普勒滤波器组的特性3 MTD多普勒滤波器组的设计上一章我们对MTD雷达原理进行了比较深刻的阐述,这一章我们来研究一下 MTD雳达中多普勒滤波器组的设计。多普勒滤波器组是MTD系统的核心,其性能决定MTD的性能,其最简单的实 现方法是釆用离散傅里叶变换(DFT) o但DFT滤波器组中的滤波器在零附近没有 零陷,因而无法很好地抑制地杂波,使滤波器组输出的检测性能受到影响。所以, 后来人们乂在DFT滤波器组之前加了 MTI处理,这样可以先用MTI消掉地杂波

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1