ISE开发环境使用指南FPGA开发实用教程.docx

上传人:b****6 文档编号:8075527 上传时间:2023-01-28 格式:DOCX 页数:45 大小:5.25MB
下载 相关 举报
ISE开发环境使用指南FPGA开发实用教程.docx_第1页
第1页 / 共45页
ISE开发环境使用指南FPGA开发实用教程.docx_第2页
第2页 / 共45页
ISE开发环境使用指南FPGA开发实用教程.docx_第3页
第3页 / 共45页
ISE开发环境使用指南FPGA开发实用教程.docx_第4页
第4页 / 共45页
ISE开发环境使用指南FPGA开发实用教程.docx_第5页
第5页 / 共45页
点击查看更多>>
下载资源
资源描述

ISE开发环境使用指南FPGA开发实用教程.docx

《ISE开发环境使用指南FPGA开发实用教程.docx》由会员分享,可在线阅读,更多相关《ISE开发环境使用指南FPGA开发实用教程.docx(45页珍藏版)》请在冰豆网上搜索。

ISE开发环境使用指南FPGA开发实用教程.docx

ISE开发环境使用指南FPGA开发实用教程

第1节ISE套件的介绍与安装

4.1.1ISE简要介绍

Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(IntellectualProperty)核,长期以来一直推动着FPGA技术的发展。

Xilinx的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:

包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;

全面支持Virtex-5系列器件(业界首款65nmFPGA);

集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;

可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。

FoundationSeriesISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。

ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。

4.1.2ISE功能简介

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。

设计输入:

ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(TheISETextEditor),用于原理图编辑的工具ECS(TheEngineeringCaptureSystem),用于生成IPCore的CoreGenerator,用于状态机设计的StateCAD以及用于约束文件编辑的ConstraintEditor等。

综合:

ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。

仿真:

ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher,同时又提供了使用ModelTech公司的Modelsim进行仿真的接口。

实现:

此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。

下载:

下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。

使用ISE进行FPGA设计的各个过程可能涉及到的设计工具如表4-1所示。

表4-1ISE设计工具表

4.1.3ISE软件的安装

E9.1软件安装的基本硬件要求如下:

CPU在PIII以上,内存大于256M,硬盘大于4G的硬件环境安装。

为了更好地使用软件,至少需要512M内存,CPU的主频在2GHz以上。

本书使用的集成开发环境是ISE9.1,仿真工具是ModelSim6.2b,综合工具为SynplifyPro8.8。

其中ISE、ModelSim软件和Synplify软件不同版本之间的差异不是很大,所以操作和设计结果的差别也是很小的。

4.1.4ISE软件的基本操作

1.ISE用户界面

ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。

图4-6ISE的主界面

标题栏:

主要显示当前工程的名称和当前打开的文件名称。

菜单栏:

主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。

其使用方法和常用的Windows软件类似。

工具栏:

主要包含了常用命令的快捷按钮。

灵活运用工具栏可以极大地方便用户在ISE中的操作。

在工程管理中,此工具栏的运用极为频繁。

工程管理区:

提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(SourceView),快照视图(SnapshotView)和库视图(LibraryView)。

其中源文件视图比较常用,显示了源文件的层次关系。

快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。

快照视图用于查看当前工程的快照。

执行快照功能的方法是选择菜单项Project|TakeSnapshot。

库视图则显示了工程中用户产生的库的内容。

源文件编辑区:

源文件编辑区提供了源代码的编辑功能。

过程管理区:

本窗口显示的内容取决于工程管理区中所选定的文件。

相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。

对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。

信息显示区:

显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。

信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(FindinFiles)。

如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。

状态栏:

显示相关命令和操作的信息。

2.ISE菜单的基本操作

ISE所有的操作都可通过菜单完成,下面简要介绍ISE的菜单命令以及功能。

(1)File菜单

File菜单的命令包括:

NewProject、OpenProject、OpenExamples、CloseProject、SaveProjectAs、New、Open、Save、SaveAs、SaveAll、PrintPreview、Print、RecentFiles、RecentProjects以及Exit等。

NewProject命令:

用于新建工程,是开始设计的第一步。

ISE会为新建的工程创建一个和工程同名的文件夹,专门用于存放工程的所有文件。

OpenProject命令:

用于打开已有的ISE工程。

高版本的ISE可以打开低版本的工程,但需要版本转换,该转换是单向的、不可逆的,因此需要做好版本备份。

低版本的ISE不能打开高版本的ISE工程。

OpenExamples命令:

用于打开ISE提供的各种类型的示例。

CloseProject命令:

关闭当前工程。

如果关闭前未保存文件,ISE会提示用户保存后再退出。

SaveProjectAs命令:

可将整个工程另存为其他名字的工程,在大型开发中,常使用该命令来完成版本备份。

New命令:

用于新建源文件,可生成原理图、符号以及文本文件。

文本文件另存为时可修改其后缀名,以生成.v或.vhd的源文件。

Open命令:

用于打开所有Xilinx所支持的文件格式,便于用户查看各类文件资源。

Save、SaveAs以及SaveAll命令:

分别用于保存当前源文件、另存为当前源文件以及保存所有源文件。

用户要在开发当中养成及时保存文件的习惯,避免代码丢失。

PrintPreview命令:

用于打印预览当前文件,Print用于打印当前文件。

RecentFiles命令:

用于查看最近打开的文件。

RecentProjects命令:

用于查看最近打开的工程。

Exit命令:

用于退出ISE软件。

(2)Edit菜单

Edit菜单的命令包括:

Undo、Redo、Cut、Copy、Paste、Delete、Find、FindNext、FindinFiles、LanguageTemplates、SelectAll、UnselectAll、MessageFilters、ObjectProperties以及Preference等,大多数命令用于源代码开发中。

Undo命令:

用于撤销当前操作,返回到前一状态。

Redo命令:

是Undo命令的逆操作,用于恢复被撤销的操作。

Cut命令:

剪贴选中的代码,快捷键为“CRTL+X”。

Copy命令:

复制选中的代码,快捷键为“CRTL+C”。

Paste命令:

粘贴剪贴和复制的代码,快捷键为“CRTL+V”。

Delete命令:

删除选中的代码。

Find命令:

查找选中的文字,或寻找在其输入框中输入的内容,快捷键为“CRTL+F”。

FindNext命令:

寻找下一个要查找的内容,并跳至相应的位置,快捷键为“F3”。

LanguageTemplates命令:

可打开语言模版,里面有丰富的学习资料,是非常完整的HDL语言帮助手册,其地位类似于VisualC++的MSDN。

SelectAll命令:

选中所有的代码,其快捷键为“CRTL+A”。

UnselectAll命令:

撤销已选中的全部代码,是SelectAll的逆操作。

MessageFilter命令:

过滤消息,只显示用户期望的消息。

Preference命令:

用于设定ISE的启动参数以及运行参数,有着众多的设置项,最常用的就是第三方EDA软件的关联设置,将在第4.5节详细介绍。

(3)View菜单

View菜单主要管理ISE软件的视图,不涉及FPGA开发中的任何环节,其中常用的命令有LayoutHorizontally、LayoutVertically以及RestoreDefaultLayout。

LayoutHorizontally命令:

将水平地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

LayoutVertically命令:

将垂直地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

RestoreDefaultLayout命令:

将恢复ISE默认的主界面布局。

(4)Project菜单

Project菜单包含了对工程的各个操作,是设计中最常用的菜单之一,包括NewSource、AddSource、AddCopyofsource、CleanupProjectFiles、TogglePaths、Archive、TakeSnapshot、MakeSnapshotCurrent、ApplyProjectProperties以及SourceControl命令。

NewSource命令:

用于向工程中添加源代码,可以添加HDL源文件、IPCore以及管脚和时序约束文件。

AddSource命令:

将已有的各类源代码文件加入到工程中,Verilog模块的后缀为.v,VHDL模块的后缀为.vhd,IPcore源文件为.xco文件或.xaw文件,约束文件的后缀为.ucf。

AddCopyofsource命令,将目标文件拷贝一份添加到工程中。

CleanupProjectFiles命令:

用于清空综合和实现过程所产生的文件和目录。

如果在EDIF设计模式中,只清空实现过程所产生的文件。

TogglePaths命令:

用于显示或隐藏非工程文件夹中的远端源文件的路径;

Archive命令:

用于压缩当前工程,包括所有的文件,默认压缩类型为.zip格式。

TakeSnapshot命令:

用于产生一个工程快照,即当前目录和远程资源的一个只读记录,常用于版本控制。

MakeSnapshotCurrent命令:

用户恢复快照覆盖当前工程。

由于该命令会将当前工程删除,所以使用前一定要做好数据备份工作。

ApplyProjectProperties命令:

应用工程属性,会提示用于选择相应工程。

SourceControl常用于代码的导入和导出,有Export和Import两个子命令。

(4)Source菜单

Source菜单主要面向工程管理区,包含了对资源文件的各个操作,每个命令的操作也都可以在工程管理区单击右键弹出的对话框中点击实现,包括:

Open、SetasTopModule、UseSmartGuide、NewPartition、DeletePartition、Partitionproperties、PartitionForce、Remove、Movetolibrary以及Properties等命令。

Open命令:

可打开所有类型的源文件,包括.v、.vhd、.xco、.xaw以及.ucf等格式。

SetasTopModule命令:

用于将选中的文件设置成顶层模块。

只有设置成顶层模块,才能对其综合、实现以及生成相应的二进制比特流文件。

UseSmartGuide命令:

允许用户在本次实现时利用上一次实现的结果,包括时序约束以及布局布线结果,可节省实现的时间,但前提是工程改动不大。

NewPartition命令:

新建分区,常用于区域约束。

DeletePartition命令:

删除区域约束的分区

Partitionproperties命令:

可设置分区属性,详细说明刻参考4.4.4节内容。

PartitionForce命令:

包含“ForceSynthesisOut-of-data”和“ForceImplementDesignOut-of-data”两个指令,分别用于分区综合和增量设计。

Remove命令:

把选中的文件从工程中删除,但仍保留在计算机硬盘上。

Movetolibrary命令:

将选中的源文件移动到相应的库中,以便建立用户文件库。

Properties命令:

查看源文件属性,有Synthesis/ImplementationOnly、SimulationOnly以及Synthesis/Imp+Simulation三种类型,其中SimulationOnly类文件只能仿真,不能被综合。

(5)Process菜单

Process菜单包含了工程管理区的所有操作,每个命令的操作也都可以在过程管理区点击相应的图标实现,包括:

InmolementTopModule、Run、Rerun、RerunAll、Stop、OpenWithoutUpdating以及Properties等命令。

InmolementTopModule命令:

完成顶层模块的实现过程。

Run命令:

在工程过程栏,选中不同的操作,点击改命令,可分别启动综合、转换、映射、布局布线等过程。

Rerun命令:

重新运行Run指令执行的内容。

RerunAll命令:

重新运行所有Run指令执行的内容。

Stop命令:

停止当前操作,可中止当前操作,包括综合和实现的任一步骤。

OpenWithoutUpdating命令:

改指令用于打开相应上一次完成的综合或实现过程所产生的文件。

Properties命令:

在工程过程栏,选中不同的操作,点击该命令,可设置不同阶段的详细参数。

(6)Windows菜单

Windows菜单的主要功能是排列所有窗口,使其易看易管理。

通过本菜单可以看到当前打开的所有窗口,并能直接切换到某个打开的窗口。

由于各命令操作简单,不再介绍。

(7)Help菜单

Help菜单主要提供ISE所有帮助以及软件管理操作,包括:

HelpTopics、SoftwareManuals、XilinxontheWeb、Tutorials、UpdateSoftwareProductConfiguration、TipoftheDay、WebUpdata以及About命令。

HelpTopics命令:

点击后,将自动调用IE浏览器打开ISE的帮助文档。

SoftwareManuals命令:

点击后,将自动打开PDF文件,通过超链接到用户感兴趣的软件使用文档,其内容比网页形式的帮助文档要丰富。

XilinxontheWeb命令:

包括完整的Xilinx网络资源,可根据需要点击查看链接。

Tutorials命令:

包括本地快速入门ISE的说明文档和Xilinx网站的入门教学内容,可点击查看。

UpdateSoftwareProductConfiguration命令:

用于更新ISE软件的注册ID,如果试用版用户在试用期间购买了正版软件,不用卸载再重新安装,只需要通过该命令更换ID即可。

TipoftheDay命令:

每天提示,可设置或关闭在每次启动ISE时,弹出对话框,列出ISE的最新功能和一个应用技巧。

WebUpdata命令:

点击该命令,可自动连接到Xilinx的官方网站,下载最近的软件包并提示用户安装。

About命令:

点击该命令将弹出ISE的版本,包括主版本和升级号以及注册ID。

第2节HDL代码输入

4.2.1新建工程

首先打开ISE,每次启动时ISE都会默认恢复到最近使用过的工程界面。

当第一次使用时,由于此时还没有过去的工程记录,所以工程管理区显示空白。

选择File|NewProject选项,在弹出的新建工程对话框中的工程名称中输入“one2two”。

在工程路径中单击Browse按键,当工程放到指定目录,如图4-7所示。

图4-7利用ISE新建工程的示意图

然后点击“Next”进入下一页,选择所使用的芯片类型以及综合、仿真工具。

计算机上所安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到,如图4-8所示。

在图中,我们选用了Virtex4-10芯片,并且指定综合工具为Synplify(Verilog),仿真工具选为ModelSin-SEmixed。

图4-8新建工程器件属性配置表

再点击“Next”进入下一页,可以选择新建源代码文件,也可以直接跳过,进入下一页。

第4页用于添加已有的代码,如果没有源代码,点击“Next”,进入最后一页,单击确认后,就可以建立一个完整的工程。

4.2.2代码输入

在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择“NewSource”命令,会弹出如图4-9所示的NewSource对话框。

图4-9新建源代码对话框

左侧的列表用于选择代码的类型,各项的意义如下所示:

BMMFile:

ChipScopeDefinitionandConnectionFile:

在线逻辑分析仪ChipScope文件类型,具有独特的优势和强大的功能,将在M张进行讨论。

IP(Coregen&ArchitectureWizard):

由ISE的IPCore生成工具快速生成可靠的源代码,这是目前最流行、最快速的一种设计方法,将在4.5节详细讨论。

MEMFile:

ImplementationConstraintsFile:

约束文件类型。

StateDisgram:

状态图类型。

TestBenchWavaform:

测试波形类型。

UserDocument:

用户文档类型。

VerilogModule:

Verilog模块类型。

VerilogTestFixture:

Verilog测试模块类型。

VHDLModule:

VHDL模块类型。

VHDLLibrary:

VHDL库类型。

VHDLPacket:

VHDL包类型。

VHDLTestBench:

VHDL测试模块类型。

在代码类型中选择VerilogModule选项,在FileName文本框中输入one2two,单击Next进入端口定义对话框,如图4-10所示。

图4-10Verilog模块端口定义对话框

其中ModuleName就是输入的“one2two”,下面的列表框用于对端口的定义。

“PortName”表示端口名称,“Direction”表示端口方向(可以选择为input、output或inout),MSB表示信号的最高位,LSB表示信号的最低位,对于单位信号的MSB和LSB不用填写。

定义了模块端口后,单击“Next”进入下一步,点击“Finish”按键完成创建。

这样,ISE会自动创建一个Verilog模块的例子,并且在源代码编辑区内打开。

简单的注释、模块和端口定义已经自动生成,所剩余的工作就是在模块中实现代码。

填入的代码如下:

moduleone2two(x_in,flag,y1_out,y2_out);

input[7:

0]x_in;

inputflag;

output[7:

0]y1_out;

output[7:

0]y2_out;

//以下为手工添加的代码

assigny1_out=flag?

x_in:

8'b0000_0000;

assigny2_out=flag?

8'b0000_0000:

x_in;

endmodule

4.2.3代码模板的使用

ISE中内嵌的语言模块包括了大量的开发实例和所有FPGA语法的介绍和举例,包括VerilogHDL/HDL的常用模块、FPGA原语使用实例、约束文件的语法规则以及各类指令和符号的说明。

语言模板不仅可在设计中直接使用,还是FPGA开发最好的工具手册。

在ISE工具栏中点击图标,或选择菜单“Edit|LanguageTemplates”,都可以打开语言模板,其界面如图4-11所示。

图4-11ISE语言模版用户界面

界面左边有4项:

ABEL、UCF、Verilog以及VHDL,分别对应着各自的参考资料。

其中ABEL语言主要用于GAL和ISP等器件的编程,不用于FPGA开发。

以Verilog为例,点击其前面的“+”号,会出现CommonConstructs、DevicePrimitiveInstantiation、SimulationConstructs、SynthesisConstructs以及UserTemplates5个子项。

其中第1项主要介绍Verilog开发中所用的各种符号的说明,包括注释符以及运算符等。

第2项主要介绍Xilinx原语的使用,可以最大限度地利用FPGA的硬件资源。

第3项给出了程序仿真的所有指令和语句的说明和示例。

第4项给出了实际开发中可综合的Verilog语句,并给出了大量可靠、实用的应用实例,FPGA开发人员应熟练掌握该部分内容。

UserTemplates项是设计人员自己添加的,常用于在实际开发中统一代码风格。

下面以调用全局时钟缓冲器模版为例,给出语言模板的使用方法。

在语言模板中,选择“DevicePrimitiveInstantiationFPGAClockComponentsClockBuffersGlobalClockBuffer(BUFG)”,即可看到调用全局时钟缓冲的示例代码,如图4-12所示。

图4-12全局时钟缓冲器的语言模板

4.2.3XilinxIPCore的使用

1.XilinxIPcore基本操作

IPCore就是预先设计好、经过严格测试和优化过的电路功能模块,如乘法器、FIR滤波器、PCI接口等,并且一般采用参数可配置的结构,方便用户根据实际情况来调用这些模块。

随着FPGA规模的增加,使用IPcore完成设计成为发展趋势。

IPCore生成器(CoreGenerator)是XilinxFPGA设计中的一个重要设计工具,提供了大量成熟的、高效的IPCore为用户所用,涵盖了汽车工业、基本单元、通信和网络、数字信号处理、FPGA特点和设计、数学函数、记忆和存储单元、标准总线接口等8大类,从简单的基本设计模块到复杂的处理器一应俱全。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1