基于EDA技术设计交通灯系统.docx

上传人:b****6 文档编号:7624084 上传时间:2023-01-25 格式:DOCX 页数:46 大小:1.18MB
下载 相关 举报
基于EDA技术设计交通灯系统.docx_第1页
第1页 / 共46页
基于EDA技术设计交通灯系统.docx_第2页
第2页 / 共46页
基于EDA技术设计交通灯系统.docx_第3页
第3页 / 共46页
基于EDA技术设计交通灯系统.docx_第4页
第4页 / 共46页
基于EDA技术设计交通灯系统.docx_第5页
第5页 / 共46页
点击查看更多>>
下载资源
资源描述

基于EDA技术设计交通灯系统.docx

《基于EDA技术设计交通灯系统.docx》由会员分享,可在线阅读,更多相关《基于EDA技术设计交通灯系统.docx(46页珍藏版)》请在冰豆网上搜索。

基于EDA技术设计交通灯系统.docx

基于EDA技术设计交通灯系统

摘要

城市道路交通拥堵是现代城市迫切需要解决的问题,因而城市道路交通控制技术已成为当前控制领域和交通工程领域的研究热点和难点之一。

本文介绍的是基于EDA技术设计交通灯系统的一种方案。

它直接采用CPLD芯片开发,采用了层次化的设计方法,给出了各个模块的VHDL程序,利用了Max+PlusⅡ对应用程序进行了仿真,并给出了相应的仿真结果。

本交通灯控制器用红、黄、绿灯分别表示停车、等待、行进,用蓝色灯表示左拐,同时用数码管指示当前状态(红、黄、绿灯)剩余时间。

 

关键字:

交通灯控制器,EDA,CPLD,VHDL,Max+PlusⅡ

 

Abstract

Thecongestionofurbantraffichasbecomeallurgentsocialproblem,Intelligentcontroltechniqueforurbanroadwaytrafficisanimportanttopicincontroldomainandtrafficengineeringdomain.ThispaperintroducesaschemeofthedesignoftrafficsignalsystemsbasedonEDAtechnology.ItdirectlyusesCPLDchipdevelopment,usingahierarchicaldesignmethod,giveseachmoduleVHDLprocedures,usingtheMax+PlusIIonapplicationforthesimulation,andgivesthesimulationresults.Thetrafficlightcontrollerwithred,yellow,Greensaidparking,waiting,moving,withbluelightsthatturnleft,atthesametime,digitaltubetoindicatethecurrentstate(red,yellow,green)remainingtime.

 

Keywords:

trafficlight,EDA,CPLD,VHDL,Max+PlusⅡ

第1章前言

1.1研究目的和意义

以往单一模式的定时控制已不能满足客观需要,所以我们要求寻找一种可以随时针对通道上车辆的密集程度来控制和调节主支干道的通禁时间,从而减少不合理的堵车现象的发生。

本次设计要通过一种多时段多方案的信号控制器取代传统的单一方案控制器。

利用EDA技术对传统机电设备的电器控制系统进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值[1]。

1.2国内外研究现状

目前设计交通灯的方案有很多,基于EDA,FPGA,PLC,单片机等。

选择可编程控制器PLC可以效率和稳定性,且操作简单易维护。

;选用单片机优点是:

单片机成本低廉,专用性可能会更强;缺点是:

可靠性差、抗干扰性能差、通用性差、扩展能力比较弱、处理能力会弱于PLC(若做大系统复杂的交通灯控制,估计有些困难)、通讯不如PLC方便,编程语言复杂些。

选择FPGA芯片的处理能力强大,由于速度快,能耗低,但是FPGA芯片编程相当困难,也需要掌握更多的硬件知识。

基于PLC的交通灯控制系统相对基于FPGA交通灯控制系统设计简单、编程更方便灵活、不需要太多集成电路方面的知识,但成本相对会高很多。

1.3研究内容和方法

本设计就是针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信号灯系统的EDA实现方法。

在设计中应用课上所学的EDA技术和课下时间自己对EDA中的主要部分的学习,积极总结电子信息类高等学科教科书,分析和研究基础课程。

包括EDA的发展,PLD可编程逻辑器件的相关知识,以及基于FPGA的SOPC系统设计的方法,以及世界最大的CPLD公司Altra的开发平台,更有对VHDL硬件描述语言的进一步了解和学习完成设计。

首先通过对系统所实现的功能进行结构分析和大框的规划,画出总体框图,将系统分为六大主要模块。

信号发生器模块,黄绿灯主控模块,定时单元,倒计时模块,译码模块,显示模块。

不断查阅资料来丰富自己的设计,当然遇见了许多不懂的地方,借鉴了前人的经验和教训。

思考了一段时间后最后自己列出了三个可行的方案,经过方案验证,确定了用第三种方案。

采用可编程逻辑器件来实现,该设计方案以CPLD器件为核心,用VHDL编程实现各计时单元以及控制电路的功能,在Max+PlusⅡ软件上仿真调试,观察其波形,证明所设计的交通灯控制电路完全可以实现预定的功能。

显示电路采用7段得共阴数码管。

采用了层次化的设计方法,给出了各个模块的VHDL程序,并且利用MaxPlusⅡ对应用程序进行了仿真,并给出了相应的仿真结果。

在用VHDL语言进行电路设计时,应充分认识到VHDL语言的特点,从设计思想、语句运用及描述方法上等多方面对电路进行优化设计。

通过电路优化设计,可以使用规模更小的可编程逻辑芯片,从而降低系统成本。

综合运用所学过的计算机组成原理知识,独立分析、解决计算机技术实际问题。

第2章EDA技术的介绍

2.1EDA技术

2.1.1EDA技术的发展

现代电子设计技术的核心是EDA(ElectronicDesignAutomation)技术。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现[2]。

EDA(电子系统设计自动化)技术是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造),CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

现代EDA技术就是以让计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。

尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

可见利用EDA技术进行电子系统的设计,具有以下几个特点:

(1)用软件的方式设计硬件;

(2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;

(3)采用自顶向下(top-down)的设计方法;

(4)设计过程中可用有关软件进行各种仿真;

(5)系统可现场编程,在线升级;

(6)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高[3]

EDA技术在进入21世纪后,得到了更大的发展。

在仿真和设计两方面支持标准硬件描述语言的功能越来越强大,软硬件技术也进一步得到了融合,在电子行业的产业领域、技术领域和设计应用领域得到了进一步的肯定,使得复杂电子系统的设计和验证趋于简单化。

随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升;电子类的高新技术项目的开发也更加依赖于EDA技术的应用。

即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。

不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。

EDA技术涉及面很广,内容丰富,主要应掌握如下四个方面的内容:

(1)大规模可编程逻辑器件;

(2)硬件描述语言;(3)软件开发工具;(4)实验开发系统。

其中大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具[4]。

硬件描述语言(HDL)是相对于一般的计算机软件语言如C语言而言的。

HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式[5]。

HDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力[6]。

用HDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。

2.1.2EDA技术与传统电子设计方法比较

与传统的电子设计方法相比,EDA技术对于复杂电路的设计和调试都比较简单,如果某一过程存在错误,查找和修改起来比较方便,而且EDA技术的可移植性很强。

由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,而且由于用EDA技术设计电子系统具有用软件的方式设计硬件,设计过程中可用有关软件进行各种仿真,系统可现场编程,在线升级,整个系统可集成在一个芯片上等特点,比起传统的设计方法可编程逻辑器件更符合现在数字电路设计的需求。

传统机电设备的电器控制系统,如果利用EDA技术进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值[7]。

2.2VHDL

(1)VHDL简介

VHDL是一种用于电路设计的高级语言。

它在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

VHDL主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分)设计[8]。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

(2)VHDL的特点

①功能强大、设计灵活

VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。

它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。

VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。

VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

②支持广泛、易于修改

VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。

在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。

③强大的系统硬件描述能力

VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。

而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。

另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。

VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。

④独立于器件的设计、与工艺无关

设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。

当设计描述完成后,可以用多种不同的器件结构来实现其功能。

⑤很强的移植能力

VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。

⑥易于共享和复用

VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。

这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。

(3)VHDL的优势

①与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

②VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟[9]。

③VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能,符合市场大规模系统、高效、高速的完成且必须有多人甚至多个代发组共同并行工作才能实现的需求。

④对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

⑤VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必知道最终设计实现的目标器件是什么,而进行独立的设计。

(4)设计模块的科学划分

设计规划能否科学划分也是引起电路复杂化的又一主要原因。

虽然VHDL语言能从行为描述生成电路,但作为一个完整的设计一般都不可能由直接描述设计的目标功能来实现的。

总是要把设计分成若干部分,每一部分再分别描述其行为。

这就涉及到如何划分功能模块的问题,这就要求对设计要有比较深入的掌握,才能使划分的功能模块具有简单化和高效性。

“自顶而下”的设计方法:

10年前,电子设计的基本思路还是选择标准的集成电路“自底向上”(BottomUp)地构造出一个新的系统。

这样的设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错。

高层次的设计给我们提供了一种“自顶向下”(TopDown)的全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层的系统进行描述,在系统一级进行验证。

然后用综合优化工具生成具体的门电路网表,其对应的物理实现级可以是印刷电路板或

专用集成电路。

由于设计的主要仿真和调试过程是在高层次上完成的。

这既有利于早期发现结构设计上的错误,避免设计工时的浪费,同时也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.3MAX+PLUSⅡ

(1)软件开发工具Max+plusⅡ简介

Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。

Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程[10]。

(2)软件开发系统的特点

①开放的界面

Max+plusⅡ支持与Cadence,Exemplarlogic,MentorGraphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。

②与结构无关

Max+plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

③完全集成化

Max+plusⅡ的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期[11]。

④丰富的设计库

Max+plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。

⑤模块化工具

设计人员可以从各种设计输入、处理和校验选项中进行选择从而使设计环境用户化。

硬件描述语言(HDL)

Max+plusⅡ软件支持各种HDL设计输入选项,包括VHDL、VerilogHDL和Altera自己的硬件描述语言AHDL。

其中HDL(HighSpeedIntegratedCircuitHardwareDescriptionLanguage)即硬件描述语言[12]。

Opencore特征

Max+plusⅡ具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

2.4CPLD

(1)PLD简介

可编程逻辑器件(简称PLD)是允许用户编程(配置)实现所需逻辑功能的电路,一般可利用计算机辅助设计,即用原理图、状态机、硬件描述语言(VHDL)等方法来表示设计思想,经过一系列编译或装换程序,生成相应的目标文件,再由编程器或下载电缆将设计文件配置到目标器件中,这时的可编程逻辑器件就可作为满足用户需求的专用集成电路使用了。

可编程逻辑器件,不仅速度快、集成度高,并且能随心所欲地完成用户定义的逻辑功能,还可以加密和重新编程,其编程次数最大可达1万次以上。

使用可编程逻辑器件可以大大简化硬件系统、降低成本、提高系统的可靠性、灵活性和保密性。

PLD的出现,打破了由中小规模通用型集成电路和大规模专用集成电路垄断的局面,在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到广泛应用,可以预见,不久的将来,PLD将在集成电路市场占统治地位。

20世纪70年代,最早的可编程逻辑器件--PLD诞生了。

其输出结构是可编程的逻辑宏单元,因为它的硬件结构设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。

为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件--CPLD。

目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面[14]。

(2)CPLD简介

CPLD主要是由可编程逻辑宏单元[13](MC,MacroCell)围绕中心的可编程互连矩阵单元组成。

其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。

由于CPLD内部采用固定长度的金属线进行各逻辑块的互连,所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构时序不完全预测的缺点。

(3)CPLD器件的特点

它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。

几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。

CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。

第3章交通灯控制系统方案验证

3.1交通系统的发展趋势

交通系统未来的发展趋势就是要提高通行能力,加强环境保护,开展智能化运输和环保专项技术的研究,并且要做到以人为本,重点开展交通安全技术的研究,在这个过程中要确定经济合理的目标,促进新材料的广泛应用和开发。

智能交通系统是将先进的信息技术、数据通讯传输技术、电子传感技术及计算机处理技术等有效的集成运用于整个地面交通管理系统而建立的一种在大范围内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。

随着交通状况的日益恶化,西方发达国家普遍开展了关于智能交通系统共用信息平台的研究、建设。

一方面,西方发达国家开展了许多关于部门间信息共享、以及公有-私有关系的专项研究,从法规、政策、机制等方面对部门间信息共享给予了一定的保障;另一方面,西方发达国家的ITS建设已经发展到一定程度,普遍开展了较高层次的交通信息服务。

然而,在提供良好的交通信息服务的背后,必定要有功能强大、信息资源丰富的ITS共用信息平台作为支撑。

在我国,城市智能交通已逐步得到社会各界的广泛关注,并已成为交通领域的研究热点,社会各界对通过智能交通系统建设、缓解日益严重的交通问题寄予了厚望。

鉴于城市智能交通系统建设涉及到城市管理多个部门职能,因此,只有各相关部门协调配合、共同行动起来,在必要的机制和技术手段下充分实现部门间的信息共享,城市智能交通才可能顺利建设和发展。

为此,国家科技部提出了建设城市交通ITS共用信息平台的构想,在此基础上广泛开展关于交通综合信息的研究和应用。

智能交通各界通过多年的研究和工程实践,普遍认为信息平台的建设应实现信息共享枢纽、综合交通信息服务、交通辅助决策、重大事件管理等功能目标。

目前,已有的交通信息采集系统还处于各自分立的状态。

要实现上述功能,还需面对诸多必须解决的问题。

多学科综合集成ITS共用信息平台。

ITS共用信息平台的建设决不是一般的IT系统集成,为完整解决信息平台数据采集、数据处理整合以及信息发布的问题,必须同时考虑多方面技术的综合集成。

同时,上述关键技术在平台中也不仅仅是堆砌或者简单组合,而是有机融合、互相渗透。

选择VHDL硬件描述语言设计的优势:

首先,简单地介绍一下什么是VHDL硬件描述语言。

VHDL的英文全称是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage。

是EDA设计中使用最多的语言之一,它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大地简化了硬件设计任务,提高了设计效率和可靠性。

其次,这次设计选用VHDL硬件描述语言的优势就在于传统的用原理图设计电路的方法具有直观形象的优点,但如果所设计系统的规模比较大,或者设计软件不能提供设计者所需的库单元时,这种方法就显得很受限制了。

而且用原理图表示的设计,通用性、可移植性也比较弱,所以在现代的设计中,越来越多地采用了基于硬件描述语言的设计方式。

利用硬件描述语言来设计电路,使探测各种设计方案变成一件很容易的事,因为只需要对描述语言进行修改,这比更改电路原理图要容易实现得多。

VHDL语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点。

归纳起来,VHDL语言主要具有以下优点:

(1)VHDL语言功能强大,设计方式多样

VHDL语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。

同时,它还具有多层次的电路设计描述功能。

此外,VHDL语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。

VHDL语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。

(2)VHDL语言具有强大的硬件描述能力

VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。

同时,VHDL语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。

VHDL语言的强大描述能力还体现在它具有丰富的数据类型。

VHDL语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

(3)VH

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 商业计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1