《数字逻辑》课程标准.docx

上传人:b****5 文档编号:6648860 上传时间:2023-01-08 格式:DOCX 页数:13 大小:24.95KB
下载 相关 举报
《数字逻辑》课程标准.docx_第1页
第1页 / 共13页
《数字逻辑》课程标准.docx_第2页
第2页 / 共13页
《数字逻辑》课程标准.docx_第3页
第3页 / 共13页
《数字逻辑》课程标准.docx_第4页
第4页 / 共13页
《数字逻辑》课程标准.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

《数字逻辑》课程标准.docx

《《数字逻辑》课程标准.docx》由会员分享,可在线阅读,更多相关《《数字逻辑》课程标准.docx(13页珍藏版)》请在冰豆网上搜索。

《数字逻辑》课程标准.docx

《数字逻辑》课程标准

《数字逻辑》课程标准

(执笔人:

何海珍孙晶茹审阅学院:

信息科学与工程学院)

课程编号:

CS04013

英文名称:

Digitallogic

预修课程:

电路分析

学时安排:

80学时,其中讲授48时,小班讨论16学时,课程实验和课程设计16学时。

学分:

3

一、课程概述

(一)课程性质地位

《数字逻辑》是一门电子信息类专业的核心课,是软件工程专业本科生的必修课、专业基础课,也是通信工程、计算机科学与技术、智能科学与技术、保密管理、微电子科学与工程、光电信息科学与工程、网络工程、物联网工程、信息安全、电气工程及其自动化等专业的选修课或专业课。

通过本课程的学习,可使学生掌握数字系统的基础理论知识,数字电路的分类、典型数字电路组成、工作原理、性能特点、基本分析方法、实验技能等。

通过小班讨论、课程实验、课程设计、实验实习等实践教学环节可使学生进一步了解数字电路的国内外发展现状、发展趋势、研究前沿,为学生学习后续专业课程提供必要的基础知识和理论背景,帮助学生奠定坚实的专业理论基础、掌握扎实的专业技能。

(二)课程基本理念

《数字逻辑》课程的核心内容是数字电路的分析与综合(设计),而数字电路在一定程度上是分析、设计各种电类系统的基础,如通信系统、计算机系统,计算机的实质是数字电子计算机。

计算机的进步离不开数字设计,计算机技术的进步又促进数字设计的发展。

数字电路的设计通常是采用自顶向下的设计,该方法符合人类思维模式,与软件设计相同,便于克服早期的错误。

对数字电路的描述有多种方式,包括自然语言的表述、图形(原理图、印刷电路图)、数学(逻辑代数表达式)、表格(真值表,状态表)、程序(VHDL、Verilog)等。

数字系统设计层次从上至下一般分为:

系统、子系统、逻辑单元、逻辑门、CMOS电路、硅片电路等层次,最基本的数字器件是门电路,本课程侧重于逻辑门及以上层面的设计。

本课程教学过程中,将涉及到开关代数(逻辑代数)的基本概念,公理、基本定理和重要规则,数字电路的静态冒险和动态冒险,其中卡诺图是分析设计数字电路最重要的工具,组合电路分析和设计中的两个关键工具是真值表和卡诺图;时序电路分析和设计中的两个关键工具是状态图和状态表。

组合逻辑电路和同步时序逻辑电路的分析与设计的经典方法是在逻辑门级别,采用逻辑函数化简的方式使得系统采用的门的数量最少。

现代EDA工具进行数字系统设计的先进的思想与基本方法在逻辑单元级别,采用集成电路(芯片)进行。

数字电路设计传统意义上被认为是属于硬件方面的课程,本课程的学生中,将对原理图的讲解作为对数字电路理解的基础,针对软件专业,可以把数字系统设计看出是一门软件课程,教学中数字系统设计的重点是采用硬件描述语言的方式。

数字电路重要的逻辑单元包括译码器、编码器、三态器件、多路复用器、奇偶校验电路、比较器、多路分配器。

CMOS构成的门电路除了常用的与非门、或非门、非门、与门、或门、异或门、同或门外,还有三态门、传输门、漏极开路门。

它们是构成逻辑单元的基础,每个逻辑单元可以看出是一个小数字电路。

通过课程的学习,实验和课程设计时所设计的数字电路,不但在逻辑是可行,在时序上也有相应的要求。

数字系统设计的成本是我们不得不面对的重要问题,ASIC的设计成本高,一般采用可编程逻辑器件(半成品)FPGA或CPLD,将编译仿真通过的程序下载到FPGA中,来实现专用集成电路的设计。

学生通过本课程的学习,应当能够设计出简单、结构完整的数字系统,并能正确分析其基本性能。

学生在学习过程中,通过预习和讨论加深理解,通过课后习题、课程实验、课程设计等环节巩固课程中的关键知识点,为融会贯通理论研究、综合硬件设计和软件开发等基本专业技能奠定基础。

通过本课程的学习,为“计算机组成与结构”、“数字系统设计”、“嵌入式系统”等课程打下坚实的基础。

(三)课程设计思路

《数字逻辑》主要讲授组合逻辑电路和同步时序逻辑电路的分析与设计的经典和现代方法。

1、框架设计

《数字逻辑》包括组合逻辑电路和时序逻辑电路,其中时序逻辑电路又分为同步时序逻辑电路和反馈时序逻辑电路(异步时序逻辑电路)。

控制信号加状态机交织成一个相对完整的数字系统。

2、内容安排

将教学内容编排为七个部分,分别是:

第一部分:

引言。

主要讲述与数字电路相关的基本概念、电路分类、数字设计层次等。

第二部分:

数制和码制。

数字系统中数字量的表示和处理,非数值数据、事件、条件等事物的表示;各进制及相互转换;机器数的表示方法;常用的几种编码。

第三部分:

数字电路。

CMOS电路的电气特性指标。

第四部分:

组合逻辑设计原理。

开关代数(逻辑代数)的基本概念,公理、基本定理和重要规则;卡诺图化简逻辑函数;组合逻辑电路分析和设计的基本方法。

第五部分:

硬件描述语言。

自顶向下的设计方法;VHDL程序基本结构、基本语法;描述结构体功能的三种方法:

行为描述法、数据流描述法、结构描述法。

第六部分:

组合逻辑电路设计实践。

设计文档的要求;可编程逻辑器件的基本工作原理及逻辑结构;译码器、编码器、三态器件、多路复用器、奇偶校验电路、比较器、加法器的工作原理及应用。

第七部分:

时序逻辑电路设计原理。

时序逻辑电路的基本结构、分类和常用的描述方法;同步时序逻辑电路分析和设计的基本方法;反馈时序逻辑电路分析和设计的基本方法。

3、教学实施

课堂授课:

48课时。

分16周实施,每周4课时。

含期中考试、习题课等。

小班讨论:

16课时。

讨论班每班30人左右;每个班均分8次实施,每次2课时。

课程实验和课程设计:

16课时。

主要通过Quartus完成仿真实验,并利用院创新中心自主研发设计的FPGA开发板,以加深对课堂教学内容的理解,对硬件和软件结合的实施。

课程实验和课程设计主要由学生在课外自行完成,16课时主要用于课程实验和课程设计的指导与验收。

4、课程评价

考试、考查、实验、作业、设计文档等相结合。

考勤5%,作业10%,月考5%,课程实验和课程设计25%,期中考试10%,期末考试45%。

二、课程目标

(一)知识与技能

1、知识

基本概念(熟练掌握):

数字信号、模拟信号;信息;大量专业缩写词IC、ASIC、FPGA、SMI、、、编码:

ASCII、8421BCD码、2421码、格雷码、海明码;可靠性编码:

校验码、海明距离,CMOS电路电气特性的几个指标(噪声容限、扇入、扇出等)、状态、总态。

逻辑器件(熟练掌握):

译码器、编码器、三态器件、多路复用器、奇偶校验电路、比较器、加法器。

理解并掌握:

模拟信号的离散化表示,数字量的表示和处理,非数值数据、事件、条件等事物的表示;

逻辑代数的公理、基本定理和重要规则:

反演规律、对偶规律、特摩根定理。

理清楚:

卡诺图与格雷码的关系、卡诺图化简的理论基础;

门或芯片中反向圈的应用,门电路的互相转换;

漏极开路门的“线”操作。

三态门与漏极开路门的应用结合。

组合电路的静态冒险和反馈时序电路的本质冒险;

同步状态机的设计与反馈时序电路设计中状态:

稳定状态与不稳定状态,设计中对状态编码的不同要求。

在融会贯通的基础上熟练掌握:

组合逻辑电路和同步时序逻辑电路的分析与设计方法。

芯片的级联,为什么要级联,与扇入、扇出系数的关联。

VHDL编程设计:

VHDL基本语法;描述结构体功能的三种方法:

行为描述法、数据流描述法、结构描述法,不同描述方法的特征与特点。

顺序语句与并发语句的使用,过程、进程、函数、块、例化元件,条件判断语句的不同应用,循环语句的使用。

2、技能

提升逻辑推理、分析计算、总结归纳及自学新知识等能力。

提高独立的研究性学习能力,包括文献检索、文献综述、研究报告、课程报告等写作能力。

强化数学语言的运用能力,逻辑代数的公理、定理的价值,与卡诺图之间的关系,仿真建模与数值分析的意识和能力。

提高借助计算机软件进行系统仿真的技能和熟练程度。

提高FPGA开发板的使用能力(开设专门的《数字逻辑》实验课程,由实验中心负责,但本课程为实验课奠定了理论基础)。

通过相关实验课程,学会使用示波器等仪器设备;掌握定时图的分析方法。

(二)过程与方法

主要采用课堂讲授,结合案例教学,同时采用多媒体、演示、小班讨论等方法。

理论研究(熟练掌握)。

掌握利用真值表、卡诺图、状态表、状态图等分析、设计数字系统,理解逻辑代数与数学中函数的异同点,理解逻辑运算与数学运算的异同点。

ALU既有算术运算又有逻辑运算,通过ALU的分析和设计,进一步理解逻辑运算与数学运算。

熟练运用Quartus完成实验和课程设计的仿真,分析定时图,并下载到FPGA开发板,从理性和感性两个方面充分掌握数字电路的原理和设计。

数字系统硬件描述语言的编程设计。

VHDL在很多方面与已学过的软件开发工具,如C语言类似,找出两者的联系和区别,弄清楚两者最大的差异是数字电路是硬件电路,有不同通道门的并行操作,且门、导线存在延时,仿真借助的仍是计算机安装的EDA平台,所以VHDL语言的编程要考虑门、器件的延时。

重要的操作对象之一是信号。

而软件编程语言中的操作对象一般都是变量和常量等。

将数字电路是设计计算机的基础,两者结合密切,如优先编码器与中断、译码器与片选信号、多路复用器与总线上数据读/写的关系等。

结合嵌入式系统,存储阵列、调制解调器、数字锁相环等,了解FPGA芯片在图形图像检索、通信系统等领域的应用,香农定理对现代通信的贡献等。

(三)情感态度和价值观

通过《数字逻辑》课程教学,应注重培养学生以下素质:

1、求实精神。

要掌握《数字逻辑》的核心内容,必须做到前修课程与本课程知识要点之间的融会贯通,且不能有任何概念上的模糊,因此,本课程能够培养学生严谨求实的科学态度、刻苦钻研的求实作风。

2、实践意识。

通过学习,引导学生利用所学基本理论,与工程实践结合,解决实际中的问题。

三、内容标准

(一)课堂讲授部分

第一章引言

教学目的与要求:

了解数字系统与模拟系统的关系;掌握常用的逻辑器件;

清楚数字系统专用名词;了解数字设计层次。

教学重点:

模拟信号的离散化表示。

教学难点:

数字设计层次。

教学内容:

计算机课程的特点;本课程介绍;数字系统,数字系统的历史及对社会的影响,关于数字设计,模拟与数字,数字器件,数字设计的电子技术,数字设计的软件技术,集成电路,可编程逻辑器件,专用集成电路,印制电路板,数字设计层次。

第二章数制和码制

教学目的与要求:

阐述在数字系统中数字量的表示和处理,非数值数据、事件、条件等事物的表示。

掌握二、十、八、十六进制及相互转换;

掌握二进制的原码、反码和补码表示及其加减运算了解定点数与浮点数的基本概念;

掌握常用的几种编码。

教学重点:

编码

教学难点:

补码、海明码。

教学内容:

按位计数制,八进制和十六进制,常用按位计数制的转换,非十进制数的加法和减法,负数的表示,二进制补码的加法和减法,十进制数的二进制编码,葛莱码,检错码和纠错码字符编码,动作、条件和状态的编码,n维体与距离,检错码和纠错码,用于串行数据传输与存储的编码。

第三章数字电路

教学目的与要求:

掌握有关数字电路电气方面的坚实的工作知识,构建出实际电路和系统。

要求:

熟悉CMOS逻辑门的构成和特性参数;

了解TTL逻辑门的构成和特性参数;

了解有关数字电路电气方面的基础知识,以便构建出符合实际要求的电路和系统;

了解CMOS电路电气特性的几个指标;

熟悉噪声容限、扇入、扇出等含义;

掌握影响速度的两个因素:

转换时间与传播速度;

理解三态门、传输门、漏极开路门等工作原理。

教学重点:

噪声容限、扇入、扇出等含义、转换时间与传播速度、三态门。

教学难点:

CMOS“与非”门和“或非”门电路结构

教学内容:

逻辑信号与门电路,逻辑系列,CMOS逻辑,CMOS电路的电气特性和动态电气特性,三态门、传输门、漏极开路门,双极逻辑,晶体管-晶体管逻辑,TTL系列。

第四章组合逻辑设计原理

教学目的与要求:

掌握开关代数(逻辑代数)的基本概念,初步学会用函数描述逻辑问题的基本方法;

掌握逻辑代数的公理、基本定理和重要规则;

熟练掌握用卡诺图化简逻辑函数;

了解组合逻辑电路的特点;

了解竟争、冒险的概念,掌握消除冒险的基本方法;

了解组合逻辑电路分析和设计的基本方法。

教学重点:

布尔代数;布尔函数的标准形式;卡诺图。

教学难点:

用卡诺图对逻辑函数进行化简。

教学内容:

开关代数,组合电路分析,组合电路的综合,冒险。

第五章硬件描述语言

教学目的与要求:

用VHDL语言设计简单的数字系统。

教学重点:

用VHDL语言中不同的描述方式设计数字电路。

教学难点:

顶层与子层间的关系与调用。

教学内容:

VHDL程序基本结构:

实体、结构体、配置、包集合和库;

VHDL基本语法;

描述结构体功能的三种方法:

行为描述法、数据流描述法、结构描述法。

不同描述方法的特征与特点。

顺序语句与并发语句的使用。

过程、进程、函数、块、例化元件。

条件判断语句的不同应用,循环语句的使用。

第六章组合逻辑电路设计实践

教学目的与要求:

了解可编程逻辑器件的基本工作原理及逻辑结构;

学会使用常用的可编程逻辑器件;

掌握译码器、编码器、三态器件、多路复用器、奇偶校验电路、比较器的工作原理;

掌握“圈到圈”设计理念;

熟悉定时图;

理解加法器、减法器、ALU的工作原理;

掌握电路设计级联的方式。

教学重点:

定时图、译码器、编码器、多路复用器。

教学难点:

电路设计级联的方式。

教学内容:

文档标准,组合型PLD,译码器,编码器,三态器件,多路复用器,异或门和奇偶校验电路,比较器。

第七章时序逻辑电路设计原理

教学目的与要求:

了解时序逻辑电路的基本结构、分类和常用的描述方法;

熟悉各种锁存器和触发器的功能和使用;

熟悉状态图的建立,状态简化和状态分配等各个重要环节;

了解同步时序逻辑电路分析和设计的基本方法;

掌握异步时序逻辑电路对输入信号的约束条件;

熟练掌握异步时序逻辑电路分析和设计的基本方法;

对冒险的影响有基本的了解。

教学重点:

锁存器与触发器;时序电路设计;状态机图。

教学难点:

构建正确的状态图或状态表。

教学内容:

双稳态元件,锁存器与触发器,时钟同步状态机分析,时钟同步状态机设计,用状态图设计状态机,用转移表综合状态机,其它状态机设计举例,状态机的分解,反馈时序电路,反馈时序电路设计。

(二)课程实验教学部分

教学目的:

1.加深对课程核心内容的理解;

2.巩固已掌握的数字逻辑相关知识;

3.提高学生独立完成仿真实验及开发板验证实验的动手能力,;

4.培养学生独立思考、分析和解决实际问题的能力。

教学内容:

1.实验一Quartus软件的基本操作,三态门、OC门

2.实验二3种不同方式设计的素数检测器

3.实验三多路复用器、比较器

4.实验四译码器、编码器

5.实验五1位加法器、4位的加法器

6.实验六实验考试:

状态机

7.课程设计:

频率计或洗衣机控制电路

教学要求:

1.要求所有学生熟练掌握Quartus等仿真实验工具;

2.可以从上述实验清单中选做6个实验,2个课程设计中选做1个;

3.通过课程中心的课程网站或社交网络空间实现指导、归档等工作;

4.实验指导分为网络远程指导、课程实验课堂上的指导两部分;

5.实验验收必须在实验中心现场进行,便验收、便指导;为检验实验是否为学生独立完成,教师或助教可要求学生现场还原实验。

(三)小班讨论课部分

教学目的:

1.加深对课程核心内容的理解;

2.了解数字系统与技术的发展现状、趋势,以及研究前沿等;

3.提高学生对理论研究和技术开发等工作任务的理解能力;

4.培养学生与教师、学生与学生之间的沟通、组织、协调等能力。

教学内容:

讨论1:

以前是模拟的现在是数字的系统

讨论2:

补码、反码加减法强化

讨论3:

编码的艺术及基本方法

讨论4:

各种门电路的特性及效率、

讨论5:

开关代数强化训练

讨论6:

组合电路分析

讨论7:

卡诺图化简方法讨论

讨论8:

Quartus软件使用方法讨论

讨论9:

VHDL语言与C语言的比较

讨论10:

编码器与译码器应用设计

讨论11:

加法器的设计方法

讨论12:

组合逻辑电路强化练习

讨论13:

关于触发器、锁存器的讨论

讨论14:

状态机分析

讨论15:

状态机设计

教学要求:

1.教师必须制订难度适中的小班研讨主题,并在课程网站或社交网络空间中及时向学生发布;

2.发布研讨主题时,须明确关键词、完成方法、成果形式、提交方式、格式等要求;

3.对学生的临场表现、所提交的成果进行及时的、客观、公正的评分,并选择合适的时机向全体学生公布。

四、实施建议

(一)教学实施

1.课程学时分配表

教学

内容

学时安排

讲授

学时

实践学时

小计

拓展

学习

实践探索

课题研究

论文撰写

小班研讨

实验

上机

课程设计

自主

学习

第一章

4

1

5

第二章

6

2

8

第三章

2

1

3

第四章

7

3

2

12

第五章

8

2

2

12

第六章

8

3

6

17

第七章

12

4

6

22

期中测试

1

1

小计

48

16

16

80

2.教学方法和手段

多媒体教学:

以多媒体教学为主、板书为辅,多媒体教学学时约占课程学时的60%。

网络教学:

(1)湖南大学教务处,课程中心,《数字逻辑A》课程网站;

(2)湖南大学信息科学与工程学院,虚拟实验教学中心,《数字逻辑A》虚拟仿真实验系统。

小班研讨:

小班课教师通过课程中心布置讨论主题,由学生提前一周准备;小班课前3-5天,学生将研究报告、PPT等上传到课程中心课程网站,并发给负责小班课的教师或助教。

小班研讨课上,由小班课教师或助教组织研讨,并对学生表现、报告质量等进行综合评分。

小班研讨课结束时,公布小班研讨相关的成绩(百分制,占总成绩的20%)。

课程实验:

小班课教师或助教通过课程中心布置课程实验,由学生提前1-2周准备,自行完成。

课程实验课上,小班教师或助教负责课程实验指导和验收,并给出成绩。

验收通过后,学生将仿真和开发板验证的实验成果(代码、相关文件、图片、实验报告等)上传课程中心的课程网站。

课程实验、课程设计结束后1-2周,公布课程实验和课程设计的相关成绩(百分制,占总成绩的25%)。

(二)考核评价

考核方式:

考试(50%)+考查(50%)。

组织方式:

考查:

小班讨论与课程报告等,口试;课程实验、课程设计与实验报告、项目报告等,现场测试、验收。

期中测试:

笔试,开卷;

期末考试:

笔试,闭卷。

成绩评定:

百分制。

记分标准:

考勤、平时作业5%;

期中测试,10%;

小班讨论与课程报告等,15%;

课程实验与实验报告,课程设计与项目报告25%;

期末考试,45%。

附实验报告、项目报告等文档要求。

(三)教材选用

1.教材

[美]JohnF.Wakerly著.林生,金京林译.数字逻辑设计(DigitalDesignPrinciplesandPractices)第4版.北京:

机械工业出版社,2007年5月.

2.参考书

[1][美]M.MorrisMano著, 邝继顺等译. 逻辑与计算机设计基础(原书第4版), 机械工业出版社,2012.

[2][美]JohnM.Yarbrough著.李书浩,仇广煜译.数字逻辑应用与设计(DigitalLogicApplicationandDesign)北京:

机械工业出版社,2000.

[3] 毛法尧. 数字逻辑. 北京:

 高等教育出版社,2000.

[4] 

[5] 超星图书:

数字集成电路应用与实验(第二版)

[6] , 

[7] 

[8] 

[9] 

[10]潘松,黄继业.EDA技术实用教程:

VHDL版(第五版).北京:

科学出版社,2013.

[11]王金明,周顺.数字系统设计与VHDL.北京:

电子工业出版社,2010.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1