system verilog 面试.docx

上传人:b****6 文档编号:6507559 上传时间:2023-01-07 格式:DOCX 页数:6 大小:16.06KB
下载 相关 举报
system verilog 面试.docx_第1页
第1页 / 共6页
system verilog 面试.docx_第2页
第2页 / 共6页
system verilog 面试.docx_第3页
第3页 / 共6页
system verilog 面试.docx_第4页
第4页 / 共6页
system verilog 面试.docx_第5页
第5页 / 共6页
点击查看更多>>
下载资源
资源描述

system verilog 面试.docx

《system verilog 面试.docx》由会员分享,可在线阅读,更多相关《system verilog 面试.docx(6页珍藏版)》请在冰豆网上搜索。

system verilog 面试.docx

systemverilog面试

Qi1)Whatiscallback?

(Qi2)Whatisfactorypattern?

(Qi3)Explainthedifferencebetweendatatypeslogicandregandwire.

(Qi4)Whatistheneedofclockingblocks?

(Qi5)WhatarethewaystoavoidraceconditionbetweentestbenchandRTLusingSystemVerilog?

(Qi6)ExplainEventregionsinSV.

(Qi7)WhatarethetypesofcoveragesavailableinSV?

(Qi8)WhatisOOPS?

(Qi9)Whatisinheritanceandpolymorphism?

(Qi10)Whatistheneedofvirtualinterfaces?

(Qi11)Explainaboutthevirtualtaskandmethods.

(Qi12)Whatistheuseoftheabstractclass?

(Qi13)Whatisthedifferencebetweenmailboxandqueue?

(Qi14)Whatdatastructureyouusedtobuildscoreboard?

(Qi15)Whataretheadvantagesoflinkedlistoverthequeue?

(Qi16)HowparallelcaseandfullcasesproblemsareavoidedinSV?

(Qi17)Whatisthedifferencebetweenpurefunctionandcordinaryfunction?

(Qi18)Whatisthedifferencebetween$randomand$urandom?

(Qi19)Whatisscoperandomization?

(Qi20)Listthepredefinedrandomizationmethods.

(Qi21)Whatisthedfferencebetweenalways_comboandalways@(*)c?

(Qi22)Whatistheuseofpackagess?

(Qi23)Whatistheuseof$cast?

(Qi24)Howtocallthetaskwhichisdefinedinparentobjectintoderivedclass?

(Qi25)Whatisthedifferencebetweenrandandrandc?

(Qi26)Whatis$root?

(Qi27)Whatis$unit?

(Qi28)Whatarebi-directionalconstraints?

(Qi29)Whatissolve...beforeconstraint?

(Qi30)Withoutusingrandomizemethodorrand,generateanarrayofuniquevalues?

(Qi31)Explainaboutpassbyrefandpassbyvalue?

(Qi32)Whatisthedifferencebetween

bit[7:

0]sig_1;

bytesig_2;

(Qi33)Whatisthedifferencebetweenprogramblockandmodule?

(Qi34)Whatisfinalblock?

(Qi35)Howtoimplementalwaysblocklogicinprogramblock?

(Qi36)Whatisthedifferencebetweenfork/joins,fork/join_nonefork/join_any?

(Qi37)Whatistheuseofmodports?

(Qi38)Writeaclockgeneratorwithoutusingalwaysblock.

(Qi39)Whatisforwardreferencingandhowtoavoidthisproblem?

(Qi40)Whatiscirculardependencyandhowtoavoidthisproblem?

(Qi41)Whatiscrosscoverage?

(Qi42)DescribethedifferencebetweenCodeCoverageandFunctionalCoverageWhichismoreimportantandWhyweneedthem

(Qi43)Howtokillaprocessinfork/join?

(Qi44)DifferencebetweenAssociativearrayandDynamicarray?

(Qi45)Differenceb/wProceduralandConcarentAssertions?

(Qi46)WhataretheadvantagesofSystemVerilogDPI?

(Qi47)howtorandomizedynamicarraysofobjects?

(Qi48)Whatisrandsequenceandwhatisitsuse?

(Qi49)Whatisbin?

(Qi50)

Initial

wait_order(a,b,c);

Whichfrombelowinitialprocesswillcausethatabovewaitorderwillpass.

a)

iginitialbegin

#1;

->a;

->b;

->c;

end

b)

initialbegin

#1;

->a;

end

always@a->b;

always@b->c;

c)

initialbegin

#1;

->a;

#0->b;

->>c;

end

d)

initialbegin

#1->a;

#1->b;

#1->c;

end

 

(Qi51)Whyalwaysblockisnotallowedinprogramblock?

(Qi52)Whichisbesttousetomodeltransaction?

Structorclass?

(Qi53)HowSVismorerandomstablethenVerilog?

(Qi54)Differencebetweenassertandexpectstatements?

(Qi55)Howtoaddanewprocessswithoutdisturbingtherandomnumbergeneratorstate?

(Qi56)WhatistheneedofaliasinSV?

(Qi57)Whatwouldbetheoutputofthefollowingcodeandhowtoavoidit?

for(inti=0;i

fork

intj=i;

begin

#10$display("valueis0",j);

end

join_none

end

alwaysN,ByusingautomaticKeyword,Thisproblemcanbeavoided.

fori(inti=0;i

fork

automaticintj=i;

begin

#10$display("valueis0",j);

end

join_none

end

(Qi58)Isitpossibleforfunctionstoreturnaarray(memory)?

(Qi59)Howtocheckweatherrandomizationisssuccessfulornot?

(Qi60)Doweneedtocallsuper.new()whenextendingaclass?

Whathappensifwedon'tcall?

(Qi61)Equivalentconstructto|->1?

Ans:

=>

(Qi62)Whatistheneedtoimplementexplicitlyacopy()methodinsideatransaction,whenwecansimpleassignoneobjecttoother?

(Qi63)HowdifferentistheimplementationofastructcanunioninSV.

(Qi64)Whatis"this"?

(Qi65)Whatistaggedunion?

(Qi66)Whatis"scoperesolutionoperator"?

 

(Qi67)WhatisthedifferencebetweenVerilogParameterizedMacrosandSystemVerilogParameterizedMacros?

 

(Qi68)Whatisthedifferencebetween

logicdata_1;

varlogicdata_2;

wirelogicdata_3j;

bitdata_4;

varbitdata_5;

(Qi69)Whatisthedifferencebetweenbitsandlogic?

(Qi70)WriteaStatemechineinSVstyles.

(Qi71)Whatisthedifferencebetween$roseandposedgec?

(Qi72)Whatisadvantageofprogramblockoverclockcblockw.r.tracecondition?

(Qi73)Howtoavoidtheraceconditionbetweenprogramblock?

(Qi74)Whatisthedifferencebetweenassumesandassert?

(Qi75)Whatiscoveragedrivenverification?

(Qi76)Whatislayeredarchitecture?

(Qi77)Whatarethesimulationphasesinyourverificationenvironment?

(Qi78)Howtopickaelementwhichisinqueuefromrandomindex?

(Qi79)Whatdatastructureisusedtostoredatainyourenvironmentandwhy?

(Qi80)Whatiscasting?

ExplainaboutthevarioustypesofcastingavailableinSV.

(Qi81)Howtoimportualltheitemsdeclaredinsideapackage?

(Qi82)ExplainhowthetimescaleunitandprecisionaretakenwhenamoduledoesnothaveanytimescalerdeclarationinRTL?

(Qi83)Whatisstreamingoperatorandwhatisitsuse?

(Qi84)Whatarevoidfunctions?

(Qi85)Howtomakesurethatafunctionargumentpassedhasrefisnotchangedbythefunction?

(Qi86)Whatistheuseof"extern"?

(Qi87)Whatisthedifferencebetweeninitialblockandfinalblock?

Ans:

Youcan'tscheduleaneventorhavedelaysinfinalblock.

 

(Qi88)Howtocheckweatherahandlesisholdingobjectornot?

(Qi89)Howtodisablemultiplethreadswhicharespawnedbyfork...join

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 生物学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1