施密特触发器 1.docx

上传人:b****6 文档编号:5830435 上传时间:2023-01-01 格式:DOCX 页数:23 大小:321.97KB
下载 相关 举报
施密特触发器 1.docx_第1页
第1页 / 共23页
施密特触发器 1.docx_第2页
第2页 / 共23页
施密特触发器 1.docx_第3页
第3页 / 共23页
施密特触发器 1.docx_第4页
第4页 / 共23页
施密特触发器 1.docx_第5页
第5页 / 共23页
点击查看更多>>
下载资源
资源描述

施密特触发器 1.docx

《施密特触发器 1.docx》由会员分享,可在线阅读,更多相关《施密特触发器 1.docx(23页珍藏版)》请在冰豆网上搜索。

施密特触发器 1.docx

施密特触发器1

么是施密特触发器(SchmittTrigger)?

施密特触发器(SchmittTrigger),简单的说就是具有滞后特性的数字传输门。

(一)施密特触发器结构举例

(二)施密特触发器具体分析

(三)施密特触发器电路用途

(四)施密特触发器相关部分总结

(五)附:

用555定时器构成施密特触发器

                    用555定时器构成多谐振荡器

Sometimesaninputsignaltoadigitalcircuitdoesn'tdirectlyfitthedescriptionofadigitalsignal.Forvariousreasonsitmayhaveslowriseand/orfalltimes,ormayhaveacquiredsomenoisethatcouldbesensedbyfurthercircuitry.Itmayevenbeananalogsignalwhosefrequencywewanttomeasure.Alloftheseconditions,andmanyothers,requireaspecializedcircuitthatwill"cleanup"asignalandforceittotruedigitalshape.

TherequiredcircuitiscalledaSchmittTrigger.Ithastwopossiblestatesjustlikeothermultivibrators.However,thetriggerforthiscircuittochangestatesistheinputvoltagelevel,ratherthanadigitalpulse.Thatis,theoutputstatedependsontheinputlevel,andwillchangeonlyastheinputcrossesapre-definedthreshold.

=======================================================

(一)施密特触发器结构举例

施密特触发器最重要的特点是能够把变化缓慢的输入信号整形成边沿陡峭的矩形脉冲。

同时,施密特触发器还可利用其回差电压来提高电路的抗干扰能力。

它是由两级直流放大器组成,电路如图2-64所示。

两只晶体管的发射极连接在一起。

该电路也有两个稳定状态(即为双稳态电路),但它是靠电位触发的。

它的两个稳态分别为VT1饱和、VT2截止与VT2饱和、VT1截止。

两个稳态的相互转换取决于输入信号的大小,当输入信号电位达到接通电位且维持在大于接通电位时,电路保持为某一稳态;如果输人信号电位降到断开电位且维持在小于断开电位时,电路迅速翻转且保持在另一状态,该电路常用于电位鉴别、幅度鉴别以及对任意波形进行整形。

触发端

稳态

R

C

作用

双稳态电路

两个

两个

保持状态,保存数据

施密特

一个

两个

波形变换,整形

单稳电路

一个

一个

定时,延时

多谐振荡器(无稳电路)

没有

没有

信号源

(二)施密特触发器具体分析

   我们知道,门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。

施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。

在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压(

),在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压(

)。

正向阈值电压与负向阈值电压之差称为回差电压(

)。

普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的[图6.2.2(a)(b)]。

图6.2.1用CMOS反相器构成的施密特触发器

(a)电路(b)图形符号

图6.2.2图6.2.1电路的电压传输特性

(a)同相输出(b)反相输出

用普通的门电路可以构成施密特触发器[图6.2.1]。

因为CMOS门的输入电阻很高,所以

的输入端可以近似的看成开路。

把叠加原理应用到

构成的串联电路上,我们可以推导出这个电路的正向阈值电压和负向阈值电压。

时,

从0逐渐上升到

时,

从0上升到

,电路的状态将发生变化。

我们考虑电路状态即将发生变化那一时刻的情况。

因为此时电路状态尚未发生变化,所以

仍然为0,

,于是,

与此类似,当

时,

逐渐下降到

时,

下降到

,电路的状态将发生变化。

我们考虑电路状态即将发生变化那一时刻的情况。

因为此时电路状态尚未发生变化,所以

仍然为

,于是,

此公式中VT+应该位VT-。

通过调节

,可以调节正向阈值电压和反向阈值电压。

不过,这个电路有一个约束条件,就是

如果

,那么,我们有

,这说明,即使

上升到

或下降到0,电路的状态也不会发生变化,电路处于“自锁状态”,不能正常工作。

图6.2.4带与非功能的TTL集成施密特触发器

集成施密特触发器比普通门电路稍微复杂一些。

我们知道,普通门电路由输入级、中间级和输出级组成。

如果在输入级和中间级之间插入一个施密特电路就可以构成施密特触发器[图6.2.4]。

集成施密特触发器的正向阈值电压和反向阈值电压都是固定的。

利用施密特触发器可以将非矩形波变换成矩形波[图6.2.8]。

图6.2.8用施密特触发器实现波形变换

利用施密特触发器可以恢复波形[图6.2.9(a)(b)(c)]。

图6.2.9用施密特触发器对脉冲整形

利用施密特触发器可以进行脉冲鉴幅[图6.2.10]。

图6.2.10用施密特触发器鉴别脉冲幅度

       利用施密特触发器组成多谐振荡器:

    我们尝试着分析下面给定的电路,设电容上的初始电压为0,则接通电源后Ui=0,Uo=1,于是高电平通过电阻向电容C充电,随着充电过程的进行,Ui逐渐升高,当Ui升至UT+时,电路翻转,输出Q=Uo=0,电容C放电,当Uc降至UT-时,电路再次翻转,输出高电平,C又开始充电,这样,Ui在UT+和UT-之间往复变化,输出不断高低高低变换,形成振荡。

结果,这个电路在没有外界触发的情况下,仍能输出周期变化的矩形波,我们称能够自行产生矩形波输出的器件为多谐振荡器结果,这个电路在没有外界触发的情况下,仍能输出周期变化的矩形波,我们称能够自行产生矩形波输出的器件为多谐振荡器。

(三)施密特触发器电路用途

施密特触发器

(1)应用于波形的整形和变换:

整形时,将不好的矩形波变为较好的矩形波;波形转换时,将三角波、正弦波和其他波形转换为矩形波。

(2)应用于幅度鉴别:

可以将输入信号中的幅度大于某一数值的信号检测出来。

(3)应用于多谐振荡器。

(四)施密特触发器相关部分总结

   在数字系统的脉冲整形电路中,常需要一定幅度和宽度的矩形脉冲。

获得矩形脉冲的方法通常有两种,一是由脉冲振荡器直接产生,二是用脉冲整形电路将非矩形脉冲变换成符合要求的矩形脉冲。

施密特触发器是一种脉冲整形电路,它的电压传输特性是一条具有滞回特性的曲线,即触发器输出由低电平变为高电平和由高电平变为低电平所对应的阈值电压是不同的。

施密特触发器可对输入波形进行变换和整形。

回差电压△UT和阈值电压UT1和UT2是其主要参数。

单稳态触发器是一种脉冲整形电路,多用于脉冲波形的整形、延时和定时。

它有一个稳态和一个暂稳态,稳态到暂稳态的转换靠外触发脉冲的作用,暂稳态维持一段时间后自动返回稳态,暂稳态维持时间的长短由定时元件R,C决定,与触发脉冲无关,脉冲宽度和恢复时间是单稳态触发器的主要参数。

多谐振荡器是一种脉冲产生电路,它不需要外加输入信号,而使电路能够周而复始地振荡,电路必须接成正反馈;多谐振荡器没有稳定状态,只有两个暂稳态,暂稳态时间的长短取决于定时元件RC的充放电时间。

振荡周期T是多谐振荡器的主要参数。

555定时器是一种多用途的单片集成电路,利用它可以方便地构成施密特触发器、单稳态触发器和多谐振荡器等。

脉冲产生和整形电路也可由门电路外接电阻,电容等元器件组成。

(五)附:

用555定时器构成施密特触发器

           用555定时器构成多谐振荡器

555定时器是一种多用途的单片集成电路,利用它可以方便地构成施密特触发器、单稳态触发器和多谐振荡器等。

555集成定时器的内部电路结构是怎样的?

它是怎样工作的?

答:

在数字系统中,为了使各部分在时间上协调动作,需要有一个统一的时间基准。

用来产生时间基准信号的电路称为时基电路。

555集成定时器就是其中的一种。

它是一种由模拟电路与数字电路组合而成的多功能的中规模集成组件,只要配少量的外部器件,便可很方便的组成触发器、振荡器等多种功能电路。

因此其获得迅速发展和广泛应用。

555集成定时器的工作原理如下:

图2-65a所示为其内部电路结构图。

管脚排列如图2-65b所示。

整个电路包括分压器,比较器,基本RS触发器和放电开关四个部分。

(1)分压器    由三个5kΩ的电阻串联组成分压器,其上端接电源VCC(8端),下端接地(1端),为两个比较器A1、A2提供基准电平。

使比较器A1的“+”端接基准电平(2/3)*VCC(5端),比较器A2的“-”端接(1/3)*VCC。

如果在控制端(5端)外加控制电压.可以改变两个比较器的基准电平。

不用外加控制电压时,可用0.01μF的电容使5端交流接地,以旁路高频干扰。

(2)比较器A1、A7是两个比较器。

其“+”端是同相输人端,“-”端是反相输入端。

由于比较器的灵敏度很高,当同相输入端电平略大于反相端时,其输出端为高电平;反之,当同相输入端电平略小于反相输人端电平时,其输出端为低电平。

因此,当高电平触发端(6端)的触发电平大于(2/3)*VCC时,比较器A1的输出为低电平;反之输出为高电平。

当低电平触发端(2端)的触发电平略小于(1/3)*VCC时,比较器A2的输出为低电平;反之,输出为高电平。

(3)基本RS触发器    比较器A1和A2的输出端就是基本RS触发器的输入端RD和SD。

因此,基本RS触发器的状态(3端的状态)受6端和2端的输入电平控制。

图中的4端是低电平复位端。

在4端施加低电平时,可以强制复位,使Q=0。

平时,将4端接电源VCC的正极。

(4)放电开关图中晶体管VT构成放电开关,使用时将其集电极接正电源,基极接基本RS触发器的Q非端。

当(Q非)=0时,VT截止;当(Q非)=1时,VT饱合导通。

可见晶体管VT作为放电开关,其通断状态由触发器的状态决定。

怎样由555构成施密特触发器?

答:

将(6脚)和(2脚)相连作为信号输入端即可构成施密特触发器。

怎样由555构成多谐振荡器?

答:

因为用施密特触发器可以组成多谐振荡器;所以可用555定时器构成施密特电路,再用施密特电路加上RC充放电电路来设计多谐振荡器。

图2-66a是由555组件组成的多谐振荡器电路,R1、R2和C系外接元件。

其工作原理如下:

接通电源后,VCC经R1R2给电容C充电。

由于电容上电压不能突变,电源刚接通时Vc

此时(Q非)=0,使内部放电管截止。

当Vc上升到大于Vcc/3时,RD=1,SD=1,基本RS触发器状态不变,即输出端Q仍为高电平,当Vc上升到略大于2VCC/3时,Rn=0,SD=1,基本RS触发器置0,输出端Q为低电平。

这时Q非=1,使内部放电管饱合导通。

于是电容C经R2和内部放电管放电,Vc按指数规律减小。

当Vc下降略小于Vcc/3时,内部比较器A1输出高电平,A2输出低电平,基本RS触发器置1,输出高电平。

这时,(Q非)=0,内部放电管截止。

于是C结束放电并重新开始充电。

如此循环不止,输出端就得到一系列矩形脉冲,如图2-66b所示。

如何用运放做一个施密特触发器(迟滞比较器)?

作者:

jingle 栏目:

技术交流

如何用运放做一个施密特触发器(迟滞比较器)?

大家好,我想自己做一个充电电路.目的是监控电池两端的电压,当超过某个电压时断开继电器,当低于某个电压时接通继电器.根据功能来看是一个施密特触发器.上网找了资料.其中在MOTOROLA 的LM393的Datasheet上看到的迟滞比较器正好能满足这个功能.但是我按照Datasheet上搭出的电路怎么都无法实现该功能.电路如图,本想通过调节可调电阻改变输入端的电平,但是在调节可调电阻过程中发现发光二极管只是在某个电压处切换状态,而不是施密特触发器的功能.我不知道问题出在哪里.对运放也不熟悉!

希望各位大哥指点小弟一下,不胜感激!

!

!

因为我手边没有LM393,用了日立公司的HA17393不行,后又LM324也是不行.

2楼:

>>参与讨论

作者:

 jingle 于2006-12-2921:

22:

00发布:

发光二极管画反了

 

3楼:

>>参与讨论

作者:

 forthlab 于2006-12-2921:

39:

00发布:

就是这个运放的电路。

应该可以实现楼主的功能的。

仔细调试。

4楼:

>>参与讨论

作者:

 AIRWILL 于2006-12-2922:

11:

00发布:

嗯,采用正反馈就是啦

 

5楼:

>>参与讨论

作者:

 maychang 于2006-12-2922:

19:

00发布:

LM393输出已被三极管箝位

三极管基极应该串电阻限流。

LM324肯定行,连输出的上拉电阻也可以不要。

6楼:

>>参与讨论

作者:

 jingle 于2006-12-2922:

31:

00发布:

maychang分析的很有道理,明天试试看!

今天太晚了.

 

7楼:

>>参与讨论

作者:

 jingle 于2006-12-3018:

21:

00发布:

按照maychang的方法终于成功了.

不过运放空载时输出端最低电压都是0.75V,0.75V就够驱动三极管了.为什么不是0V呢?

望高手指点!

8楼:

>>参与讨论

作者:

 computer00 于2006-12-3018:

40:

00发布:

最好改成用低电平驱动三极管,并在三极管的BE上并联电阻

使用PNP型的三极管,E接电源正.

9楼:

>>参与讨论

作者:

 jingle 于2006-12-3018:

59:

00发布:

能解释一下为什么不是0V吗?

谢谢!

 

10楼:

>>参与讨论

作者:

 computer00 于2006-12-3019:

08:

00发布:

因为输出管子存在饱和压降.

 

11楼:

>>参与讨论

作者:

 阿明 于2008-2-1119:

36:

30发布:

大家新年好,鼠年行大运!

我想制作一个畜电池容量检测仪,但是.当电压降到10.5V时[LM358比较器]不能完全停止,只是与基准电压一样而已!

怎么呢?

请个为高手指点!

12楼:

>>参与讨论

作者:

 bin 于2008-3-49:

45:

20发布:

制作一个畜电池放电器需要使用施密特触发器,即需要设置一个回差电压就可以了

UA741运放组成的施密特触发器电路图

编辑:

Dz3w.Com文章来源:

网络 我们无意侵犯您的权益,如有侵犯请[联系我们]

 

UA741运放组成的施密特触发器电路图

ASchmittTriggerCircuitShowsTwoDistinctSignalInputLevelsForTurningTheCircuitONAndOFF.TheDifferenceBetweenTheVonAndVoffVoltagesCalledHysterisSchmittTriggersAreUsefulInConbvertingSlowlyRisingWaveformsIntoFastRisingOnesAndInRelayLikeApplication.

TheCircuitShownHereUsesA741WithPositiveFeedbackViaR5,R6AndR7ForFastSwitching.VoltageDividersR8AndR9SetTheDCInputVoltageToTheNon-InvertingInputTerminalToHalfTheSupplyVoltage.TheAmountOfPositiveFeedbackDependsOnTheRatioOfR6AndR7.TheLargerTheRatio,TheSmallerWillBeTheHysterisisShownByTheCircuit.PotentiometerR2SetsTheDCVoltageToTheInvertingInputTerminalAndThusSetsTheThresholdVoltageAtWhichTheSignalWillTriggerTheCircuit.TheInputSignalCanBeAppliedToEitherOfTheTwoInputTerminals.

施密特触发器

维基百科,自由的百科全书

汉漢▼

施密特触发器(B)和比较器的(A)作用比较

在电子学中,施密特触发器(英语:

Schmitttrigger)是包含正反饋的比较器电路。

对於标准施密特触发器,当输入电压高於正向阈值电压,输出为高;当输入电压低於负向阈值电压,输出为低;当输入在正负向阈值电压之间,输出不改变,也就是说输出由高电平翻转为低电平,或是由低电平翻转为高电平对应的阈值电压是不同的。

只有当输入电压发生足够的变化时,输出才会变化,因此将这种元件命名为触发器。

这种双阈值动作被称为遲滯現象,表明施密特触发器有记忆性。

从本质上来说,施密特触发器是一种双稳态多谐振荡器。

施密特触发器可作为波形整形电路,能将模拟信号波形整形为数字电路能够处理的方波波形,而且由於施密特触发器具有滞回特性,所以可用於抗干扰,其应用包括在开环配置中用於抗扰,以及在闭环正反馈配置中用於实现多谐振荡器。

 [隐藏]

∙1 发明

∙2 符号

∙3 实现

o3.1 比较器实现的施密特触发器

o3.2 两个晶体管实现的施密特触发器

∙4 应用

o4.1 抗扰

▪4.1.1 内建施密特触发器的元件

o4.2 振荡器

∙5 参见

∙6 注释

∙7 参考文献

∙8 外部链接

[编辑]发明

施密特触发器是由美国科学家奥托·赫伯特·施密特(OttoHerbertSchmitt)於1934年发明,当时他只是一个研究生,[1]後於1937年他在其博士论文中将这一发明描述为“热电子触发器”(thermionictrigger)。

[2]这一发明是施密特对鱿鱼神经中的神经脉冲传播进行研究的直接成果。

[编辑]符号

电路图中的施密特触发器符号是一个三角中画有一个反相或非反相滞回符号。

这一符号描绘了对应的理想滞回曲线。

非反相施密特触发器

   

反相施密特触发器

[编辑]实现

施密特触发器可以利用简单的隧道二极管实现,这种二极管的伏安特性在第一象限中是一条“N”形曲线。

振荡输入会使二极管的伏安特性从“N”形曲线的上升分支移动到另一分支,然後在输入值超越上升和下降翻转阈值时回到起点。

不过,这类施密特触发器的性能可以利用基於晶体管的元件来提升,因为基於晶体管的元件可以通过非常直接的利用正反馈来提升翻转性能。

[编辑]比较器实现的施密特触发器

施密特触发器常用接入正反馈的比较器来实现[注1],而不像运算放大器电路常接入负反馈。

对於这一电路,翻转发生在接近地的位置,迟滞量由R1和R2的阻值控制:

比较器提取了两个输入之差的符号。

当非反相(+)输入的电压高於反相(−)输入的电压时,比较器输出翻转到高工作电压+VS;当非反相(+)输入的电压低於反相(−)输入的电压时,比较器输出翻转到低工作电压−VS。

这里的反相(−)输入是接地的,因此这里的比较器实现了符号函数,具有二态输出的特性,只有高和低两种状态,当非反相(+)端连续输入时总有相同的符号。

由於电阻网络将施密特触发器的输入端(即比较器的非反相(+)端)和比较器的输出端连接起来,施密特触发器的表现类似比较器,能在不同的时刻翻转电平,这取决於比较器的输出是高还是低。

若输入是绝对值很大的负输入,输出将为低电平;若输入是绝对值很大的正输入,输出将为高电平,这就实现了非反相施密特触发器的功能。

不过对於取值处於两个阈值之间的输入,输出状态同时取决於输入和输出。

例如,如果施密特触发器的当前状态是高电平,输出会处於正电源轨(+VS)上。

这时V+就会成为Vin和+VS间的分压器。

在这种情况下,只有当V+=0(接地)时,比较器才会翻转到低电平。

由电流守恒,可知此时满足下列关系:

因此Vin必须降低到低於

时,输出才会翻转状态。

一旦比较器的输出翻转到−VS,翻转回高电平的阈值就变成了

非反相施密特比较器典型的滞回曲线,与其符号上的曲线一致,M是电源电压,T是阈值电压

这样,电路就形成了一段围绕原点的翻转电压带,而触发电平是

只有当输入电压上升到电压带的上限,输出才会翻转到高电平;只有当输入电压下降到电压带的下限,输出才会翻转回低电平。

若R1为0,R2为无穷大(即开路),电压带的宽度会压缩到0,此时电路就变成一个标准比较器。

输出特性如右图所示。

阈值T由

给出,输出M的最大值是电源轨。

实际配置的非反相施密特触发电路如下图所示。

输出特性曲线与上述基本配置的输出曲线形状相同,阈值大小也与上述配置满足相同的关系。

不同点在於上例的输出电压取决於供电电源,而这一电路的输出电压由两个齐纳二极管(也可用一个双阳极齐纳二极管代替)确定。

在这一配置中,输出电平可以通过选择适宜的齐纳二极管来改变,而输出电平对於电源波动具有抵抗力,也就是说输出电平提高了比较器的电源电压抑制比(PSRR)。

电阻R3用於限制通过二极管的电流,电阻R4将比较器的输入漏电流引起的输入失调电压降低到最小(参见实际运算放大器的局限)。

反相施密特触发器的滞回曲线

下图是一个反相施密特触发器的例子,右图是其滞回曲线,其中Ue是输入电压,Ur是参考电压:

上述电路满足如下关系:

其中U1和U2是阈值电压,Uv是电源电压。

[编辑]两个晶体管实现的施密特触发器

在使用正反馈配置实现的施密特触发器中,比较器自身可以实现的大部分复杂功能都没有使用。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1