多功能数字钟EDA技术与应用.docx

上传人:b****5 文档编号:5699794 上传时间:2022-12-31 格式:DOCX 页数:13 大小:228.31KB
下载 相关 举报
多功能数字钟EDA技术与应用.docx_第1页
第1页 / 共13页
多功能数字钟EDA技术与应用.docx_第2页
第2页 / 共13页
多功能数字钟EDA技术与应用.docx_第3页
第3页 / 共13页
多功能数字钟EDA技术与应用.docx_第4页
第4页 / 共13页
多功能数字钟EDA技术与应用.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

多功能数字钟EDA技术与应用.docx

《多功能数字钟EDA技术与应用.docx》由会员分享,可在线阅读,更多相关《多功能数字钟EDA技术与应用.docx(13页珍藏版)》请在冰豆网上搜索。

多功能数字钟EDA技术与应用.docx

多功能数字钟EDA技术与应用

课程名称:

EDA技术及应用成绩:

 

课程设计

 

课程设计题目:

多功能数字钟

 

学生姓名:

学号:

 

学校指导教师姓名:

所在班级:

日期:

摘要

设计时采用了层次设计思想,功能逐级递加,并在封装时留有很多外围接口,以备增加其他新功能。

实验主要包含三个主体----时钟基本功能电路、闹钟电路、万年历电路。

主体一:

主要涉及模60与模24计数器、动态显示控制电路、分频器主要整点报时电路,

这些电路都是以模块封装好的,以便其他电路调用。

以计数器构成计时部件,通过分频器分出的1HZ脉冲计时,调用动态显示电路显示,通过整点报时电路控制蜂鸣器。

在计数器级联时采用内部同步外部异步的方式,但通过简单的改变达到了同步的效果而且比同步还可靠。

显示控制时为了节约资源采用动态原理,自编了VHDL语言的24选4数据选择器。

主体二:

主要涉及模60与模24计数器、显示控制电路、14-7同或门。

以模60与模24计数器构成定时与存储电路,调用动态显示控制电路显示,通过14-7同或门和后续与门控制蜂鸣器。

主体三:

主要涉及模100、模12与模30计数器、动态显示电路。

以计数器构成计时部件,调用动态显示电路显示。

最后由于功能中涉及的开关太多,我们采用了两种复用原理,给出了两种复用思想。

关键词:

多功能数字时钟;层次设计;整点报时;VHDL语言

Summary

Corpus one:

 Mainly involve a mold 60 with mold 24 count machine, dynamic state to show a control electric circuit, cent repeatedly machine , a little bit whole tell the time electric circuit, These electric circuits are all packed with mold piece okay, for the purpose of other electric circuits adjust to use. With count machine composing to account parts, pass a cent repeatedly the machine divides of when the 1 HZ pulse accounts adjust to show that the electric circuit suggests and passes a little bit whole buzzer that tell the time an electric circuit control with the dynamic state. BE counting the way that the machine class adopted synchronously external different step in the inner part while uniting, but came to a synchronous effect through a simple change and than synchronously returned credibility. While showing a control for economizing a resources adoption dynamic state principle, from wove VHDL language of 24 choose 4 data selectors. Corpus two:

 Mainly involve a mold 60 with mold 24 count  machine, show control electric circuit, 14-7 together or door. With mold 60 with mold 24 count machine composing in fixed time with saving electric circuit, adjust to show control electric circuit to suggest with the dynamic state, pass 14-7 together or door and follow-up and door control buzzer. Corpus three:

 Mainly involve a mold 100, mold 12 with mold 30 count a machine, dynamic state to show electric circuit. With count the machine composing accounts parts, adjust to show that the electric circuit shows with the dynamic state. Finally because of too many switch involving in the function, we adopted 2 kinds to reply to use principle and gave 2 kinds reply to use thought.  

Key word:

 multi-function digital ;clockSynchronous;  ten thousand calendars,;The language of VHDL

引言

随着科学技术的发展,现代电子设计技术已进入一个全新的阶段,传统的电子设计方法、工具和器件在更大的程度上被EDA所取代。

在EDA技术中,最为瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术,该技术的出现,使电子系统设计发生了质的变化,设计速度快、体积小、重量轻、功耗小的集成电路已成为趋势。

本文利用EDA技术,选用ALTERA公司的CPLD器件EPF10K10LC84-4和软件MAX+PLUS2,设计了一个多功能数字钟,提高了系统的整体性能和可靠性,并通过编译、仿真、下载,经验证后已满足要求。

1设计说明

1.1功能说明:

利用QuartusII软件设计一个数字钟,对设计电路进行功能仿真,并下载到SmartSOPC实验系统中,可以完成00:

00:

00到23:

59:

59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

本电路在原有基础上进行了拓展,具备以下功能:

.能进行正常的时、分、秒计时功能;

.锁死功能--锁死状态下时钟保持不变;

.整点报时功能---当时钟计到59’53”时开始报时,在59’53”,59’55”,59’57”时报时频率为512Hz,59’59”时报时频率为1KHz,;

.清零功能—-时钟计时电路和万年历电路;

.快速校时,校分功能---校定时其他计时电路保持;

.闹表功能—-定时精确到分,闹钟设计响一分钟,可关闭;

.万年历---可显示XX年XX月XX日,可快速校定到正确的日期;

1.2功能简介

本电路具有三种不同的工作状态(同步工作),可通过模式键进行切换(可通过LED1、LED2观察当前的模式)。

、正常计时状态(K7、K8都为一):

此状态下数码管显示当前的时间,K1为校分开关,K2为校时开关,K4为清零开关,校分、校时都是以2HZ的信号进行快速到位的。

、闹表状态(K7=1、K8=0):

此状态下数码管显示当前闹定的时间,K1为设定分钟的开关,K2为设定小时开关,清零开关无用--K4。

、万年历状态(K7=X、K8=1):

此状态下数码管显示当前的年月日,K1为设定日期开关,K2为设定月开关,K3为设定年开关、K4为清零开关。

、锁死状态(K5=0):

此状态下所有电路都保持原状态(不给电路送脉冲)。

1.3开关功能简介(两种功能)

.简单复用(方便,易操作,但开关太多—7个)

各种操作的开关状态

1

★★★★○★★

锁死状态

2

●○★○●●●

校分状态

3

○●★○●●●

校时状态

4

○○★●●●●

时钟清零

5

●○★★●●○

设定闹钟分

6

○●★★●●○

设定闹钟时

7

●○○○●○★

设定万年历天

8

○●○○●○★

设定万年历月

9

○○●○●○★

设定万年历年

10

○○○●●○★

万年历清零

.带计数器的复用

通过上面的介绍我们可以清楚的看到电路总共有10种工作状态,但这里要把锁死状态单独考虑,所以总共我们就可以通过控制一个摸十或摸九的计数器,再把结果输送给一个数据分配器,在不同数值时把开关状态输送到不同的控制口,计数器数值可以通过LED灯观测。

这样我们总共使用三个开关就可以控制整个电路----锁死键、模式键、控制键。

(具体电路见下面分析)

 

2方案论证

2.1总体方案分析

2.1.1控制电路

为了显示当前时钟时间,我们需要一个显示电路。

校分、校时、清零电路只需要输入一些控制信号给时钟计时电路即可,当然这些控制信号是由开关提供的。

要实现整点报时功能,一个报时控制电路是必不可少的。

这是只含基本功能的时钟电路所包含的子电路。

2.1.2功能电路

为了实现我们加入的闹钟功能,我们需要一个闹钟时间设定电路;闹钟时间保持电路;比较电路;蜂鸣器控制电路;闹表时间显示电路。

因为我们只含有一个数码显示器,一般状态下显示的是时钟,所以我们需要一个显示模式切换电路。

万年历电路需要由计时电路提供计时脉冲,脉冲输送给一个由模30、模12、模100级联而成计时电路。

同样万年历电路需要一个时间调整电路、显示电路、显示模式切换电路。

2.2电路的工作原理

电路总图如下(电路进行了封装):

图2电路总图

首先由分频器把原来的48MHZ的脉冲用进行分频得到1Khz、500hz、2hz、1hz的脉冲。

1hz的脉冲送到时钟计时电路秒位的clk输入口;秒位的进位信号和2hz脉冲通过开关的选择输送到分位电路的时钟输入口,前者用于正常计时,后者由于校分时;分位的进位信号和2hz脉冲通过开关的选择输送到时位电路的时钟输入口,前者用于正常计时,后者由于校时。

把三个计数器的输出输送给一个24选4的数据选择器,六组分别为秒个位、秒十位、分个位、分十位、时个位、时十位。

数据选择器输入信号由一个模八的计数器提供,这个模八的计数器的输出同时送给一74138译码器。

数据选择器的输出送入7447段译码器的输入口,74138的的输出由于选择显示器的位,7447的输出用于段的显示,这样就实现了动态显示。

至于整点报时电路我们把要蜂鸣器响的时间通过卡诺图化简,得到最简的逻辑函数式,再把逻辑函数式通过电路实现,满足要求才把脉冲信号输送给蜂鸣器。

闹钟电路:

首先通过选择是否向分位和十位电路送脉冲来设定时间,当两个电路都无脉冲则电路保持当前的数字,输出端上的电平不在改变,把这个电平信号与时钟计时电路中的对应位输送到一个双输入的同或门,再把14个与门的输出与起来,在与1khz的脉冲与其来送入蜂鸣器。

闹表各位的动态显示原理与上面相同。

万年历电路:

其正常状态的脉冲是由时钟计数器时位的进位信号提供的,在调整日期时,时钟信号是通过开关选择一个2hz脉冲输送到各个电路时钟端的。

清零开关的输入信号输送到各个计数器的清零端即可。

万年历各位的动态显示原理与上面相同。

最后由于有三组输出信号要显示,我们通过显示模式控制开关选择要显示的状态信号。

以上的各种状态下的控制键通过模式的选择进行了复用。

模式状态切换的连个按键的输入同时输送到两个LED灯,通过观察灯的状态我们就可以判断当前的模式。

2.3.1公式的格式示例

3各子模块设计原理

3.1脉冲分频模块

3.1.1三分频电路

 

图4

本电路采用清零的方法把模16的计数器转换为模三的计数器,输出即为三分频后的脉冲。

3.1.248分频电路

图5

把一个模16计数器和模3的计数器级联(16×3=48)即可得到模48的计数器,输出即为48分频后的脉冲。

3.1.31000分频电路

分频器的总电路图:

图7

 

最终从48MHZ的脉冲我们得到1Khz(蜂鸣器、动态显示)、500hz(蜂鸣器)、2hz(快速调整)、1hz(时钟计数)的脉冲。

其芯片封装结果如下:

图8

3.2时钟计时模块

3.2.1模60计数器

为了防止冒险我们采用同步的方法设计计数器(1、同步时钟;2、同步置数)。

把进位信号送入使能端ENT(高电平有效),当第一片计数到1001(9)时,ENT端变成高电平,但74160是上升沿触发的,此时clk无上升沿第二片不计数,当CLK的上升沿到来,第一片回到0000状态,第二片计数器的值增加1。

第一片要设计为模10计数器,第二片要设计成模6计数器,因为74160本身就是模10计数器,所以第一片不要加别的控制电路。

第二片我们把Qc、Qa与非起来送入同步置数端LDN,当第二片的计数值为0101(5)时,LDN端低电平,但74160是同步置数的而且是上升沿有效,此时无上升沿不置数,当CLK的上升沿到来第一片会到0000状态,第二片置数为0000,这样计数器就实现了00000000----01011001的计数范围。

与外部的联系我们设置了6个端口,一个输出端(进位信号),五个输入端(保持、清零、脉冲切换、脉冲一、脉冲二),下面对6个端口一一分析:

保持:

我们把开关接到ENP端正常状态开关低电平,信号经过非门变成高电平,送入ENP(高电平有效)端,当开关变成高电平时,ENP端变成低电平,使能端无效此时停止计数,从而达到保持的目的。

、清零:

与保持端相似。

脉冲1、脉冲2、脉冲切换开关:

当脉冲切换开关为低电平时CLK2与其与后为低电平,其非与CLK1与后还是CLK1,两个与门的结果或起来为CLK1,当脉冲切换开关为高电平时,同样的分析此时选中CLK2

进位端:

把Q1a、Q1d、Q2c、Q2a与非后当做进位信号,及计数值为01011001(59)时进位。

为什么选用非信号在总时钟电路时在分析。

封装后如下:

 

3.2.2模24计数器

其基本思路与模60相差无几,差别只是在置数时不同,第一片在0—20连个阶段不要置数自己回0,但计到23时要置为0,需要外部控制电路。

所以我们要把Q1b、Qa1、Q2b(00100011—23)与非起来,把此信号作为置数信号。

封装后如下:

3.3显示控制电路

结构图:

 

 

电路图:

 

图16

 

电路原理:

本电路完成的是动态显示,动态显示不同于以往的静态显示,所谓静态显示,即每一个数码管由单独的七段显示译码器驱动,如要显示N位数,必需用N个七段显示译码器。

和静态显示不同,动态显示使用数据选择器的分时复用功能,将任意多位数码管的显示驱动,由一个七段显示译码器来完成。

这样即节省了器件,又提高了效率。

主体是一个24选4的数据选择器,路选信号是由一个模8计数器提供的,这样在一个周期里空余两个路选信号,由于用的是动态原理,信号停留时间很短一个周期1/1000秒,这样人眼根本看不出。

24选4的输出送到7447段译码器,以便在数码管上显示相应数值。

模8计数器的输出同时送到位选控制电路,由一个74138译码器构成,以便在任意时间只有一个位有效,这样在任意的一个时间段里由7447提供段显信号,74138提供位显信号,从而达到动态显示的目的。

这里只有24选4芯片是自己设计的,其他的软件都提供了。

3.4显示模块和计时模块结合

正文从引言开始到致谢结束,双面打印。

电路图:

图19

封装:

4调试与仿真

4.1脉冲发生模块

4.1脉冲发生模块

脉冲发生器由1000分频器和48分频器构成。

a.48分频器

时序图:

48分频电路(第3部分)仿真时序波形图可以看出输入波形周期为10ns,输出波形周期为480ns,输出周期为输入周期480倍且占空比为1/3。

b.1000分频器

时序图:

由1000分频电路仿真时序波形图可以看出输入波形周期为10ns,输出波形中2分频周期为20us,占空比为1/2,10分频周期为100us,占空比2/5,100分频周期为1000us,占空比2/5,500分频周期为5000us,占空比有两个分别为1/3和1/2,1000分频周期为10000us,占空比2/5。

4.2计时电路

秒位

 

分位

时位

 

5仿真

首先要进行管脚的分配,选择“Assingnment—〉Pins”,总电路管脚分配如下图示:

管脚分配保存好后,选择“Assingnment—>Device”,点击“DeviceandPinOption…”,选择“UnusedPins”页中“Reserveallunusedpins:

”选项中的“Asinputtri-stated”选项,将未用引脚设为三态输入,重新编译。

点击工具栏上的(Programmer)按钮,点击“HardwareSetup”按钮,在“Currentlyselectedhardware”中选择“ByteBlaster[LPT1]”。

最后选择要下载的文件,点击“Start”即可下载程序。

下载完毕即可在模拟试验箱上观察结果。

结论

本次实验由于我们不知最后能实现哪些功能,所以在设计思路上我们采用了层次设计思路,每设计一步都留有很多接口以便下面的设计,功能是逐级递加的。

但这样弊病是我们不可能把所有的问题都考虑到,在想修改时很麻烦,修改一步下面的都得改变,想要完善前面的功能同样很复杂。

这为以后积累了经验,在考虑问题是应全面,采用当前流行的设计思想模块化设计。

经过四天的试验时间我们完成了时钟的基本功能,并且附加了闹表和万年历功能。

本万年历可以显示当前年月日(年只能显示后两位)。

以时钟计时电路中时位的进位信号作为时钟输入端,万年历的年位,月位,日位分别为模100、12、30的计数器,但置位时月位,日位不能回零,因为没有0月0日,所以这两个计数器置位时要置回1,这是与时钟计时电路不同的地方,其他设计基本一致。

显示还是调用已编好的模块display,在显示切换时雨闹钟和时钟显示切换的方法相同,就不重复了。

与时钟计时电路相同的是,在进行日起调整时,开关也要加消颤电路。

致谢

本设计的完成是在我们的导师xxx老师的细心指导下进行的。

在每次设计遇到问题时老师不辞辛苦的讲解才使得我的设计顺利的进行。

从设计的选题到资料的搜集直至最后设计的修改的整个过程中,花费了郭老师很多的宝贵时间和精力,在此向导师表示衷心地感谢!

导师严谨的治学态度,开拓进取的精神和高度的责任心都将使学生受益终生!

还要感谢和我同一设计小组的几位同学,是你们在我平时设计中和我一起探讨问题,并指出我设计上的误区,使我能及时的发现问题把设计顺利的进行下去,没有你们的帮助我不可能这样顺利地结稿,在此表示深深的谢意。

 

参考文献

[1]蒋立平.数字电路.北京:

兵器工业出版社,2008.7

[2]南京理工大学电子技术中心.EDA设计实验指导书.

[3]卢毅,赖杰.《VHDL与数字电路设计》.北京:

科学出版社.2002年3月

[4]潘松、黄继业.EDA技术与VHDL.北京:

清华大学出版社.2006

[5]朱正伟.《EDA技术及应用》.北京:

清华大学出版社.2005年10月

[6]阎石.数字电子技术基础[M].北京市西城区:

高等教育出版社.2008年12月

[6]谢自美.电子线路设计实验测试[Z].武汉:

华中科技大学出版社.2006年9月

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1