基于FPGA的出租车计价器的设计.docx

上传人:b****6 文档编号:5637690 上传时间:2022-12-29 格式:DOCX 页数:39 大小:169.64KB
下载 相关 举报
基于FPGA的出租车计价器的设计.docx_第1页
第1页 / 共39页
基于FPGA的出租车计价器的设计.docx_第2页
第2页 / 共39页
基于FPGA的出租车计价器的设计.docx_第3页
第3页 / 共39页
基于FPGA的出租车计价器的设计.docx_第4页
第4页 / 共39页
基于FPGA的出租车计价器的设计.docx_第5页
第5页 / 共39页
点击查看更多>>
下载资源
资源描述

基于FPGA的出租车计价器的设计.docx

《基于FPGA的出租车计价器的设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA的出租车计价器的设计.docx(39页珍藏版)》请在冰豆网上搜索。

基于FPGA的出租车计价器的设计.docx

基于FPGA的出租车计价器的设计

基于FPGA的出租车计价器的设计

摘要

近年来,我国出租车行业迅速发展,出租车已经成为我国城市公共交通的重要组成部分。

传统的基于单片机的出租车计费系统已经很难满足现在的需要,而FPGA等可编程器件的出现很好地解决了这些问题,FPGA不同逻辑可以并行执行,可以同时处理不同任务,可以根据不同的需要来设计不同模块,能够很快的实现其需要的功能。

本文介绍了基于FPGA的出租车计价器系统的功能、设计思想和实现,以及出租车计价器系统的组成及工作原理描述了研究该课题的意义和应用价值。

该设计采用模块化设计,主要有两大模块和一些子模块,分为计程模块、计费模块、分频模块、译码模块和数据显示模块,各模块采用VHDL设计,完成了可预置自动计价、自动计程、数据显示等多功能的计价器。

在QuartusⅡ下实现编译、仿真等,最后成功下载到FPGA芯片中。

由于FPGA具有高密度、可编程及有强大的软件支持等特点,所以计价器具有功能强、灵活和可靠性高等特点,具有一定的实用价值。

关键词:

FPGA,VHDL,计价器,QuartusⅡ

TheDesignofTaxiMeterBasedonFPGA

ABSTRACT

Inrecentyears,China'staxitherapiddevelopmentoftheindustry,taxihasbecomeChina'surbanpublictransportisanimportantpartof.ThetraditionalbasedonSCMtaxibillingsystemhasbeendifficulttomeettheneedsofthepresent,andFPGAdevicesuchastheappearanceofprogrammableverygoodsolutiontotheseproblems,theFPGAdifferentlogiccanbeexecutedinparallel,canalsohandledifferenttasks,andmay,accordingtothedifferentneedsofdifferentmodules,candesignsoonrealizeitsneedtofunction.

ThispaperintroducesthetaximetersystembasedonFPGAdesignthinkingandthefunction,realizedthemeter,andtaxisystemcompositionandworkingprincipledescribesresearchthistopicsignificanceandapplicationvalue.Thedesignusesamodulardesign,therearetwomainmodulesandanumberofsub-modules,dividedintothemetermodule,billingmodule,frequencymodule,decodingmoduleandthedatamodules,eachmoduleorgraphicsneutronmoduleusingVHDLDesignMethod.ToachievetheQuartusⅡcompile,simulation,etc.,andfinallysuccessfullydownloadedtotheFPGAchip.Discussesthemetermodule,thepricingmodule,decodingmoduleandotherdynamicscandesignmethodsandtechniques,auto-completioncanbepresetpricing,automaticcountingprocess,dataandothermulti-functionmeter.Ashigh-densityFPGA,programmable,andhasastrongsoftwaresupportandothercharacteristics,sothedesignisfunctionalstrength,flexibilityandhighreliability,hassomepracticalvalue.

KEYWORDS:

FPGA,VHDL,Meter,QuartusⅡ

1引言

1.1课题背景

随着我国经济的迅速发展,人们生活水平的显著提高,城市交通的日趋完善,出租车逐渐成为人们日常生活不可缺少的交通工具。

而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客之间的双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛,应用也越来越广泛。

近年来,我国出租车行业的迅速发展,出租车已经成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。

出租车服务行业和出租车计价器紧密联系,因为出租车必须安装出租车计价器才能投入运营。

出租车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。

计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器计价准确与否,直接关系到经营者和消费者的经济利益。

依据国家有关法律、法规,出租车计价器是列入国家首批强制检定的工作计量器具之一,也是近年来国家质量技术监督部门强化管理的六类重点计量器具之一。

出租车行业在我国是八十年代初兴起的一项新兴行业,随着我国国民经济的高速发展,出租汽车已成为城市公共交通的重要组成部分。

多年来国内普遍使用的计价器只具备单一的计量功能。

目前全世界的计价器中有90%为台湾所生产。

现今我国生产计价器的企业有上百家,主要是集中在北京,上海,沈阳和广州等地。

传统的出租车计价器由于发展使用了十几年,在稳定性、成本、以及使用习惯上都具有一些优势。

如89C51单片机实现汽车计价器的设计,大部分的功能可以用单片机来实现。

但是单片机程序是不通用的,不同的芯片有不同的指令集,因此设计研发比较困难,周期长。

如果系统设计的不好,则系统不是很稳定,而且灵活度不够,不易实现功能复杂的设计。

随着中国经济的发展,许多因素主导了出租车行业成本的波动。

而传统的用89C51为基础设计出来的出租车计价系统已经很难满足现在的需要,而FPGA等数字可编程器件的出现彻底解决了这些问题,FPGA不同逻辑可以并行执行,可以同时处理不同任务,这就导致了FPGA工作更有效率,同时可以根据不同的需要来设计不同模块,能够很快的实现其需要的功能。

正因为在出租车需求不断增大的情况下,未来汽车计价器的市场还是相当有潜力,通过FPGA设计出各种出租车的计价需求,能满足当地出租车的计价需要的系统是十分有必要的,而且这个课题还能有效解决传统计价器系统上的一些不足,以实现更好的计价功能。

1.2出租车计价器现状及发展趋势

出租车计价器是一种按照一定的要求自动进行计价的装置,应用在出租车上使出租车行业的收费更加规范、方便。

目前市场上使用的出租车计价器主要采用的都是利用微控器如89C51、μPD78F0034单片机实现的计价器设计。

传统的出租车计价器由于发展使用了十几年,在稳定性、成本、以及使用习惯上都具有一些优势,但在营运过程中系统不是很稳定,有时造成死机的现象。

而且不同国家和地区的计价方式存在差异,有些地区有夜间收费及郊区收费等,而有些地区则无夜间收费和郊区收费;即使同一地区,不同车型的出租车,其计价方式也有差别;由于个别地区对计价器有特殊要求,有时必须修改软件;另外,计价器还面临软件升级问题;因而,所设计的计价器应能很方便地重新编程。

另一方面,由于油价的不断上涨,出租车还面临调价或调整计价方式等问题。

因此,所设计的计价器不仅要能满足不同国家和地区的要求,而且计价方式的调整也应当很方便。

这都对传统的出租车计价器提出了更高的要求。

近几年来,各大城市都在对出租车价格进行调整,由于数量太多,很多城市的调价需要很长时间才能完成,这就会出现同一时间几个价格,司机人工计价的尴尬情形。

这些都暴露了传统计价器在灵活性和升级换代能力上的不足。

现在计价器大多数以单片机为平台的,但是单片机程序是不通用的,不同的芯片有不同的指令集,因此设计研发比较困难。

而FPGA等数字可编程器件的出现彻底解决了这个问题,而且性能更稳定、设计更灵活、能实现较复杂的功能,运用EDA软件可方便的在电脑上实现设计与仿真。

FPGA是电子设计领域中最具活力和发展前途的一项技术,未来必定会取代部分落伍的数字器件[9]。

面对这些问题我所认识到传统计价器的不足:

(1)产品更新周期长

传统计价器利用微控器,如89C51或μPD78F0034单片机实现汽车计价器的设计,大部分的功能可以用单片机来实现。

但是单片机程序是不通用的,不同的芯片有不同的指令集,因此设计研发比较困难,周期长。

如果系统设计的不好,则系统不是很稳定,而且灵活度不够,不易实现功能复杂的设计。

(2)计价方式的不灵活

每次计价标准的修改都需要将芯片重新烧录,使得每次调价都需要耗费大量的人力物力,很难达到目前油价联动的需求。

1.3本设计的主要工作

考虑到目前出租车行业迅猛发展的趋势以及对计费器更高的灵活性要求,本设计希望尝试一些新的方法来予以解决,列出以下解决方案:

(1)利用FPGA取代微控器

随着FPGA等数字可编程器件的出现解决传统电子设计不能完成的任务,利用FPGA来实现出租车计价器。

可行性很高,而且电路简单,大大减少外围器件,可以用软件完全仿真,灵活度高,可以设计一些复杂的系统,而且编好的系统可以在不同的FPGA或CPLD芯片上通用。

FPGA能完成任何数字器件的功能,使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。

同时由于FPGA的功能完全取决于VHDL语言编写的程序,不拘泥于某种芯片的特殊指令,更加提高了产品的更新换代能力。

(2)增加计价标准设定功能

通过设计此功能模块可以使得计价标准设计更加灵活,体现目前出租车行业发展的需要,使运价、油价联动成为可能。

1.4现代数字系统的设计方法

1.4.1设计步骤

现代数字系统的设计采用“Top→down”(自顶向下)设计方法,设计步骤如图1-1所示。

图1-1设计流程图

在“Top→down”(自顶向下)的设计方法中,设计者首先需要对整个系统进行方案设计和功能划分,拟订采用一片或几片专用集成电路ASIC来实现系统的关键电路,系统和电路设计师亲自参与这些专用集成电路的设计,完成电路和芯片版图,再交由IC工厂投片加工,或者采用可编程ASIC(例如CPLD和FPGA)现场编程实现。

在“Top→down”(自顶向下)的设计中,行为设计确定该电子系统或VLSI芯片的功能、性能及允许的芯片面积和成本等。

结构设计根据系统或芯片的特点,将其分解为接口清晰、相互关系明确、尽可能简单的子系统,得到一个总体结构。

这个结构可能包括算术运算单元、控制单元、数据通道、各种算法状态机等。

逻辑设计把结构转换成逻辑图,设计中尽可能采用规则的逻辑结构或采用经过考验的逻辑单元或模块。

电路设计将逻辑图转换成电路图,一般都需进行硬件仿真,以最终确定逻辑设计的正确性。

版图设计将电路图转换成版图,如果采用可编程器件就可以在可编程器件的开发工具时进行编程制片。

利用HDL语言,采用“Top→down”(自顶向下)设计方法的设计系统硬件电路,一般分为三个步骤,如图1-2所示。

图1-2“Top→down”(自顶向下)设计系统硬件的过程

(1)行为描述

对系统进行行为描述的目的是在系统设计的初始阶段,通过对系统行为描述的仿真来发现系统设计中存在的问题。

在行为描述阶段,考虑的是系统的结构及其工作过程是否能达到系统设计规格书的要求,并不考虑其实际的操作和算法用什么方法来实现。

行为描述是对整个系统的数学模型的描述,其设计与器件工艺无关。

(2)寄存器传输描述RTL

将行为方式描述的HDL程序,采用RTL方式描述,导出系统的逻辑表达式,再用仿真工具对RTL方式描述的程序进行仿真。

如果仿真通过,就可以利用逻辑综合工具进行综合了。

(3)逻辑综合

利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表),也可将综合结果以逻辑原理图方式输出。

此后再对逻辑综合结果在门电路级上进行仿真,并检查定时关系,如果一切正常,那么系统的硬件设计基本结束。

如果在某一层上仿真发现问题,就应返回上一层,寻找和修改相应的错误,然后再向下继续未完的工作。

由逻辑综合工具产生门级网络表后,在最终完成硬件设计时,还可以有两种选择:

一种是由自动布线程序将网络表转换成相应的ASIC芯片的制造工艺,定制ASIC芯片;第二种是将网络表转换成相应的PLD编程码点,利用PLD完成硬件电路的设计[7]。

1.4.2设计优点

利用HDL语言,采用“Top→down”(自顶向下)设计方法具有以下优点:

(1)在“Top→down”(自顶向下)的设计过程中,每一步都可以进行仿真,可以在系统设计过程发现设计存在的问题,可大大缩短系统的设计周期,降低费用,使电路设计更趋合理,其体积和功耗也可减小。

(2)采用HDL语言,就可免除编写逻辑表达式或真值表的过程,使设计难度大幅度下降,从而可以缩短设计周期。

(3)采用HDL语言设计系统硬件电路时,主要的设计文件是用HDL语言编写的源程序,也可以将HDL语言编写的源程序转换成电原理图形式输出,降低了硬件电路设计难度。

(4)用HDL语言的源程序作为归档文件资料量小,便于保存,可继承性好。

1.5EDA技术

1.5.1EDA技术的内涵

EDA(ElectronicsDesignAutomation)即电子设计自动化。

现在数字系统设计依靠手工已经无法满足设计要求,设计工作需要在计算机上采用EDA技术完成。

EDA技术以计算机硬件和系统软件为基本工作平台,采用EDA通用支撑软件和应用软件包,在计算机上帮助电子设计工程师完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印刷电路板)的自动设计等。

在EDA软件的支持下,设计者完成对系统功能的进行描述,由计算机软件进行处理得到设计结果。

利用EDA设计工具,设计者可以预知设计结果,减少设计的盲目性,极大地提高设计的效率。

EDA通用支撑软件和应用软件包涉及到电路和系统、数据库、图形学、图论和拓扑逻辑、计算数学、优化理论等多学科,EDA软件的技术指标有自动化程度,功能完善度,运行速度,操作界面,数据开放性和互换性(不同厂商的EDA软件可相互兼容)等。

EDA技术包括电子电路设计的各个领域:

即从低频电路到高频电路、从线性电路到非线性电路、从模拟电路到数字电路、从分立电路到集成电路的全部设计过程,涉及到电子工程师进行产品开发的全过程,以及电子产品生产的全过程中期望由计算机提供的各种辅助工作[5]。

1.5.2EDA技术的基本特征

采用高级语言描述,具有系统级仿真和综合能力是EDA技术的基本特征。

与这些基本特征有关的几个概念是:

(1)并行工程和“自顶向下”设计方法

并行工程是一种系统化的、集成化的、并行的产品及相关过程的开发模式(相关过程主要指制造和维护)。

这一模式使开发者从一开始就要考虑到产品生存周期的质量、成本、开发时间及用户的需求等等诸多方面因素。

“自顶向下”(Top→down)的设计方法从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述;在功能一级进行验证,然后用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

“Top→down”设计方法有利于在早期发现产品结构设计中的错误,提高设计的一次成功率,在EDA技术中被广泛采用。

(2)硬件描述语言(HDL)

用硬件描述语言进行电路与系统的设计是当前EDA技术的一个重要特征。

硬件描述语言突出优点是:

语言的公开可利用性;设计与工艺的无关性;宽范围的描述能力;便于组织大规模系统的设计;便于设计的复用和继承等。

与原理图输入设计方法相比较,硬件描述语言更适合规模日益增大的电子系统。

硬件描述语言使得设计者在比较抽象的层次上描述设计的结构和内部特征,是进行逻辑综合优化的重要工具。

目前最常用的IEEE标准硬件描述语言有VHDL和VerilogHDL。

(3)逻辑综合与优化

逻辑综合功能将高层次的系统行为设计自动翻译成门级逻辑的电路描述,做到了设计与工艺的独立。

优化则是对于上述综合生成的电路网表,根据布尔方程功能等效的原则,用更小、更快的综合结果替代一些复杂的逻辑电路单元,根据指定的目标库映射成新的网表。

(4)开放性和标准化

EDA系统的框架是一种软件平台结构,它为不同的EDA工具提供操作环境。

框架提供与硬件平台无关的图形用户界面以及工具之间的通信、设计数据和设计流程的管理,以及各种与数据库相关的服务项目等。

一个建立了符合标准的开放式框架结构EDA系统,可以接纳其他厂商的EDA工具一起进行设计工作。

框架作为一套使用和配置EDA软件包的规范,可以实现各种EDA工具间的优化组合,将各种EDA工具集成在一个统一管理的环境之下,实现资源共享。

EDA框架标准化和硬件描述语言等设计数据格式的标准化可集成不同设计风格和应用的要求导致各具特色的EDA工具在同一个工作站上。

集成的EDA系统不仅能够实现高层次的自动逻辑综合、版图综合和测试码生成,而且可以使各个仿真器对同一个设计进行协同仿真,进一步提高了EDA系统的工作效率和设计的正确性。

(5)库(Library)

库是支持EDA工具完成各种自动设计过程的关键。

EDA设计公司与半导体生产厂商紧密合作、共同开发了各种库,如逻辑模拟时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等等,这些库支持EDA工具完成各种自动设计[5]。

1.5.3EDA的基本工具

EDA工具的整体概念是电子系统设计自动化。

EDA的物理工具完成和解决设计中如芯片布局、印刷电路板布线、电气性能分析,设计规则检查等问题的物理工具。

基于网表、布尔逻辑、传输时序等概念的逻辑工具,设计输入采用原理图编辑器或硬件描述语言进行,利用EDA系统完成逻辑综合、仿真、优化等过程,生成网表或VHDL、Verilog-HDL的结构化描述。

细分有:

编辑器、仿真器、检查/分析工具、优化/综合工具等。

(1)文字编辑器在系统级设计中用来编辑硬件系统的描述语言如VHDL和Verilog-HDL,在其他层次用来编辑电路的硬件描述语言文本,如SPICE的文本输入。

(2)图形编辑器用于硬件设计的各个层次。

在版图级,图形编辑器用来编辑表示硅工艺加工过程的几何图形。

在高于版图层次的其他级,图形编辑器用来编辑硬件系统的方框图、原理图等。

典型的原理图输入工具包括基本单元符号库(基本单元的图形符号和仿真模型)、原理图编辑器的编辑功能、产生网表的功能3个组成部分。

(3)仿真器又称模拟器,用来帮助设计者验证设计的正确性。

在硬件系统设计的各个层次都要用到仿真器。

在数字系统设计中,硬件系统由数字逻辑器件以及它们之间的互连来表示。

仿真器的用途是确定系统的输入/输出关系,所采用的方法是把每一个数字逻辑器件映射为一个或几个过程,把整个系统映射为由进程互连构成的进程网络,这种由进程互连组成的网络就是设计的仿真模型。

(4)检查/分析工具在集成电路设计的各个层次都会用到。

在版图级,采用设计规则检查工具来保证版图所表示的电路能被可靠地制造出来。

在逻辑门级,检查/分析工具用来检查是否有违反扇出规则的连接关系。

时序分析器用来检查电路中的最大和最小延时。

(5)优化/综合工具可以将硬件的高层次描述转换为低层次描述,也可以将硬件的行为描述转换为结构描述,转换过程通常伴随着设计的某种改进。

如在逻辑门级,可用逻辑最小化来对布尔表达式进行简化。

在寄存器级,优化工具可用来确定控制序列和数据路径的最优组合[5]。

1.6EDA技术简介

EDA技术的发展使电子系统的设计技术和工具发生了巨大的变化。

所谓EDA技术(即电子设计自动化)是指以计算机为工作平台,融合电子技术、计算机技术、智能技术等最新成果而研制成的一种技术,用来帮助电子设计人员完成繁琐的设计工作。

EDA的发展经历了三个阶段:

一是20世纪70年代的CAD阶段。

利用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

二是20世纪80年代的CAE阶段。

与CAD相比,除了图形绘制功能外,又增加了电路功能设计和结构设计,并通过电气连接网络表将两者结合在一起,实现了工程设计。

CAE主要功能包括原理图的输入、逻辑仿真、电路分析、自动布局布线、PCB后分析。

三是20世纪90年代的EDA阶段。

20世纪90年代以来,电子信息类产品的开发出现了两个特点:

复杂程度加深,产品上市时限紧迫,原有的基于门级描述的单层次设计方法不能适应设计要求,由于人们的努力出现了整个设计过程自动化的一种高层次设计方法(即系统级设计)。

这种系统级的高层次设计方法不需要通过门级原理图描述电路,而是针对设计目标进行功能描述,由于摆脱了电路细节的设计,设计人员可以把精力集中于创造性的方案与概念构思上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计,这样大大缩短了产品的研制周期。

另外,高层次设计只是定义系统的行为特性,不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换为针对某种工艺优化的网表,工艺转化可轻松实现。

高层次的设计步骤如下:

(1)确定设计方案。

按照“自顶向下”的设计方法进行系统规划。

(2)设计输入。

可采用VHDL代码,此种方式普遍;也为图形输入方式(原理图、状态图、波形图等)该方法直观,容易理解。

(3)源代码仿真。

该项主要是检验系统功能设计的正确性。

(4)综合、适配。

这两项完成对源代码的综合优化处理和将综合后的网表文件针对某以具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化、布局布线。

(5)器件编程或配置:

即设计实现。

将适配器产生的器件编程文件通过编程器或下载线缆载入目标芯片FPGA或CPLD中,实现设计功能。

EDA代表了当今电子设计技术的最新发展方向[5]。

 

2出租车计价器的设计

2.1出租车计价器总体设计

2.1.1出租车计价标准

计价器显示的营运金额是营运里程与价格的函数。

出租车计价器通过传感器与行驶车辆连接,出租车的实际里程通过传感器的脉冲信号在计价器里折算成一定的计价营运里程。

针对这一点我们来利用FPGA来设计一款出租车计价器,具有计程显示、计价显示相关功能。

设计要求如下:

(1)实现计价功能:

按行驶里程计价,起步价为6.00元,并在车行驶3km后按1.2元/km计价。

当计价器达到或超过20元时,每千米加收50%的车费,车停止和暂停时不计费。

(2)设计数码管动态扫描电路能够实时显示车费和路程。

2.1.2总体框架设计

出租车有起动键、停止键、暂停键和档位键

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1