通信系统课程设计.docx

上传人:b****6 文档编号:5290622 上传时间:2022-12-14 格式:DOCX 页数:12 大小:371.01KB
下载 相关 举报
通信系统课程设计.docx_第1页
第1页 / 共12页
通信系统课程设计.docx_第2页
第2页 / 共12页
通信系统课程设计.docx_第3页
第3页 / 共12页
通信系统课程设计.docx_第4页
第4页 / 共12页
通信系统课程设计.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

通信系统课程设计.docx

《通信系统课程设计.docx》由会员分享,可在线阅读,更多相关《通信系统课程设计.docx(12页珍藏版)》请在冰豆网上搜索。

通信系统课程设计.docx

通信系统课程设计

目录

通信原理概述

QuartusII简介

设计原理

设计源程序

运行结果分析

心得体会

参考文献

 

通信原理概述

 

 

QuartusII简介

QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

  QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

  QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

  此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

  MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。

  AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。

该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。

Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。

改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

支持MAX7000/MAX3000等乘积项器件。

 

设计原理

课题三数字基带通信系统的设计与建模

数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式

在长距离上直接传输PCM信号、用双绞线进行局域网内的计算机数据传输等。

本课题讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝

相变换器的基带系统进行设计与建模。

对如何在信道中实现可靠传输不做探讨。

这主要是因为以FPGA/CPLD为目标器件,很难实现对基带传输系统中发送滤波

器和接收滤波器的设计。

1.含绝相变换器的基带系统的设计与建模

绝相变换和相绝变换电路普遍应用于基带系统的部分响应系统及差分移相键

控(DPSK)中,对绝相变换电路模块的建模与设计是具有实际意义的。

7.2.1 系统模型

绝相变换是指将二进制非归零的数据码元变换成反映其相邻码元的电位变化的一种新的码元。

其变换规则如式(7-1)所示。

(7-1)

而相绝变换是绝相变换的反变换,其变换规则如式(7-2)所示。

(7-2)

绝相变换和相绝变换的原理框图如图7-1所示。

图中的为一个码元的宽度。

图7-1绝相变换和相绝变换的原理框图

7.2.2 绝相变换器

1.绝相变换的电路设计

绝相变换器的电路如图7-2所示,用D触发器作为码元延迟器。

若按图7-1所示的绝相变换输出,由于异或门为组合逻辑器件,因此其输出信号可能出现冒险现象,为了克服冒险现象,在后面增加一个D触发器则可保证正确的绝相变换信号输出。

其VHDL建模符号如图7-3所示。

图中:

DATAIN——码元输入;CLK——时钟输入;DATAOUT——绝相变换输出。

图7-2绝相变换器的电路图

图7-3绝相变换器的VHDL建模符号

7.2.2 相绝变换器

1.相绝变换的电路设计

根据式(7-2)和图7-1,画出相绝变换电路如图7-5所示。

图中用D触发器作为码元延迟器。

另外,为了克服组合逻辑电路带来的冒险现象,在输出端增加了一个D触发器。

图7-5的相绝变换器的VHDL建模符号如图7-6所示。

图中:

XDATAIN——相对码输入;XCLKIN——时钟输入;JDATAOUT——绝对码输出。

图7-5相绝变换器电路图

图7-6相绝变换器的VHDL建模符号

7.2.3绝相/相绝变换的基带系统建模与仿真

1.绝相/相绝的基带系统的建模

绝相/相绝变换的基带系统的VHDL设计模型如图7-8所示。

图中将以上设计的绝相变换器和相绝变换器连接起来,构成了一个基带系统。

为了仿真方便,图中采用了同一时钟,而在实际的系统中,通常需要在接收端进行同步时钟信号提取。

图中:

JUE_X_OUT——绝相变换输出(相对码);DATA_IN——绝对码输入;CLK_IN——时钟输入;DATA_OUT——绝对码输出。

图7-8绝相/相绝变换的基带系统的VHDL设计模型

 

实验源程序

异或门电路程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityxor1is

port(DATAIN,Q:

instd_logic;

D:

outstd_logic);

endxor1;

architectureex1ofxor1is

begin

D<=DATAINxorQ;

endex1;

 

D触发器电路程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityD_FFis

port(D,CLK:

instd_logic;

Q:

outstd_logic);

endD_FF;

architectureaofD_FFis

begin

process(CLK)is

begin

if(CLK'eventandCLK='1')then

Q<=D;

endif;

endprocess;

enda;

 

绝相变换器的电路源程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityjuexiangis

port(DATAIN,CLK:

instd_logic;

DATAOUT:

outstd_logic);

endjuexiang;

architectureaeofjuexiangis

componentxor1is

port(DATAIN,Q:

instd_logic;D:

outstd_logic);

endcomponentxor1;

componentD_FFis

port(D,CLK:

instd_logic;Q:

outstd_logic);

endcomponentD_FF;

signalQ,D:

std_logic;

begin

g1:

xor1portmap(DATAIN,Q,D);

g2:

D_FFportmap(D,CLK,Q);

g3:

D_FFportmap(D,CLK,DATAOUT);

endae;

 

相绝变换器的电路源程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityxiangjueis

port(XDATAIN,XCLK:

instd_logic;

XDATAOUT:

outstd_logic);

endxiangjue;

architecturebeofxiangjueis

componentxor1is

port(DATAIN,Q:

instd_logic;D:

outstd_logic);

endcomponentxor1;

componentD_FFis

port(D,CLK:

instd_logic;Q:

outstd_logic);

endcomponentD_FF;

signalXD,XQ:

std_logic;

begin

g1:

xor1portmap(XDATAIN,XQ,XD);

g2:

D_FFportmap(XDATAIN,XCLK,XQ);

g3:

D_FFportmap(XD,XCLK,XDATAOUT);

endbe;

 

绝相/相绝变换的电路源程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entitybianhuanis

port(DATA_IN,CLK_IN:

instd_logic;

DATA_OUT:

outstd_logic

JUE_X_OUT:

std_logic);

endbianhuan;

architectureceofbianhuanis

componentjuexiangis

port(DATAIN,CLK:

instd_logic;DATAOUT:

outstd_logic);

endcomponentjuexiang;

componentxiangjueis

port(XDATAIN,XCLK:

instd_logic;XDATAOUT:

outstd_logic);

endcomponentxiangjue;

begin

g1:

juexiangportmap(DATA_IN,CLK_IN,JUE_X_OUT);

g2:

xiangjueportmap(JUE_X_OUT,CLK_IN,DATA_OUT);

endce;

 

程序运行结果分析

1.异或门仿真电路:

由程序1可以仿真出异或门电路,如下图1所示

图1异或门电路

2.D触发器仿真电路:

由程序2可以仿真出D触发器电路,如下图2所示

图2D触发器电路

 

3.绝相变换器的电路仿真

由程序3可以仿真出绝相变换器电路,如下图3_1所示

图3_1绝相变换器电路

 

绝相变换器的时序仿真

由程序3得绝相变换的时序仿真波形如图3_2所示。

从图3_2可知,其波形关系符合式(7-1)的绝相变换规则。

图3_2绝相变换器的时序仿真波形

4.相绝变换器的电路仿真:

由程序4可以仿真出相绝变换器电路,如下图4_1所示

图4_1相绝变换器电路

相绝变换器的时序仿真

由程序4得相绝变换电路得到的仿真波形如图4_2所示。

可以看出图4_2中的波形关系符合式(7-2)的相绝变换规则。

图4_2相绝变换器的时序仿真波形

 

5.绝相/相绝变换的电路仿真:

由程序5可以仿真出绝相/相绝变换器电路,如下图5_1所示

图5_1绝相/相绝变换的电路

绝相/相绝变换的时序仿真

由程序5可得绝相/相绝变换电路的仿真波形如图5_2所示。

图中显示了绝对码输入、相对码输出(绝相变换后)和经相绝变换后的绝对码输出。

从图5_2可知该系统经过绝相和相绝变换后能正确地恢复原绝对码信号。

图5_2绝相/相绝变换的基带系统的时序仿真波形

 

心得体会

经过两周的通信原理课程设计的学习让我受益菲浅。

在通信原理课程设计即将结束之时,我对在这一周来的学习与设计进行了总结,总结这一周来的收获与不足。

取之长、补之短,在今后的学习和工作中有所受用。

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程,通过课程设计我们能够比较系统的了解理论知识,把理论和实践相结合,并且用到生活当中。

在这周通信原理课程设计的学习中,让我受益颇多。

一、让我养成了预习的好习惯,培养了我的动手能力。

“课程设计就是为了让你动手做,去探索一些你未知的或是你尚不是深刻理解的东西。

”每个步骤我都亲自去做,不放弃每次锻炼的机会。

经过这一周,让我的动手能力有了明显的提高。

三、让我在探索中求得真知。

数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式在长距离上直接传输PCM信号、用双绞线进行局域网内的计算机数据传输等。

本课题设计讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝相变换器的基带系统进行设计与建模。

对如何在信道中实现可靠传输不做探讨。

这主要是因为以FPGA/CPLD为目标器件,很难实现对基带传输系统中发送滤波器和接收滤波器的设计。

对于这个课程设计,我在探索中学习、在实践中掌握。

在做设计的过程中总会出现各种问题,在这种情况下我们都会努力寻求最佳路径解决问题,无形间提高了我们的动手,动脑能力,并且同学之间还能相互探讨问题,研究解决方案,增进大家的团队意识。

通过课程设计让我知道了,我们平时所学的知识如果不加以实践的话等于纸上谈兵。

课程设计主要是我们理论知识的延伸,它的目的主要是要在设计中发现问题,并且自己要能找到解决问题的方案,形成一种独立的意识。

我们还能从设计中检验我们所学的理论知识到底有多少,巩固我们已经学会的,不断学习我们所遗漏的新知识,把这门课学的扎实。

总之,通信原理课程设计让我收获颇丰,同时也让我发现了自身的不足。

在实验课上学得的,我将发挥到其它中去,也将在今后的学习和工作中不断提高、完善;在此间发现的不足,我将努力改善,通过学习、实践等方式不断提高,克服那些不应成为学习、获得知识的障碍。

在今后的学习、工作中有更大的收获,在不断地探索中、在无私的学习、奉献中实现自己的人身价值!

 

参考文献

1.段吉海,基于CPLD/FPGA的数字通信系统建模与设计,电子工业出版社,2004

2.潘松,黄继业,EDA技术与VHDL,清华大学出版社,2009

3.MarkZwolinski,VHDL数字系统设计,电子工业出版社,2004

4.樊昌信,曹丽娜,通信原理(第六版),国防工业出版社,2007

5

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 军事政治

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1