EDA技术论文报告.docx

上传人:b****3 文档编号:5061970 上传时间:2022-12-12 格式:DOCX 页数:9 大小:642.85KB
下载 相关 举报
EDA技术论文报告.docx_第1页
第1页 / 共9页
EDA技术论文报告.docx_第2页
第2页 / 共9页
EDA技术论文报告.docx_第3页
第3页 / 共9页
EDA技术论文报告.docx_第4页
第4页 / 共9页
EDA技术论文报告.docx_第5页
第5页 / 共9页
点击查看更多>>
下载资源
资源描述

EDA技术论文报告.docx

《EDA技术论文报告.docx》由会员分享,可在线阅读,更多相关《EDA技术论文报告.docx(9页珍藏版)》请在冰豆网上搜索。

EDA技术论文报告.docx

EDA技术论文报告

1.EDA概述………………………………………………………1

2.EDA发展的最新动向

2.1新器件……………………………………………………2

2.2新工具软件………………………………………………2

2.3在FPGA中植入嵌入式系统处理器………………………2

2.4基于FPGA的DSP系统设计……………………………2

2.5计算机处理器设计………………………………………3

2.6与ASIC市场的竞争技术…………………………………3

3.关于EDA技术的应用…………………………………………3

4.电子抢答器的EDA设计与实现

4.1电子抢答器的功能………………………………………4

4.2电子抢答器的结构原理…………………………………5

4.3体会……………………………………………………8

参考文献………………………………………………………9

摘要:

随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一;本文首先阐述了EDA的基本概念和发展过程,并以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ开发软件为设计工具,阐述了电子抢答器的工作原理和软硬件实现方法,并对所设计的电子抢答器进行了时序仿真和硬件验证。

关键词:

EDA发展与应用电子抢答器VHDLQuartusⅡ

 

1.EDA概述

EDA(ElectronicDesignAutomation)技术,近十年来随着微电子和计算机技术的进步及电子产品市场运作节奏的进一步加快已迈入了一个全新的阶段[1],其特点是通过软件方式的设计和测试,达到对既定功能的硬件系统的设计和实现。

是一种以计算机为工作平台,利用计算机图形学、拓扑逻辑学、计算数学以至人工智能学等多种计算机应用学科的最新成果而开发出来的一整套软件工具;是一种帮助电子设计工程师从事电子系统设计的综合技术。

它的出现极大的改变了传统的设计方法、设计过程乃至设计观念,使广大的电子设计工程师开始实现“概念驱动工程”的梦想。

设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期。

尤其是九十年代初Lattice公司首创ISP(InSystemProgrammability)技术,加之各大VLSI厂商纷纷推出各种系列的大规模和超大规模FPGA和CPLD产品,以大规模集成电路为物质基础的EDA技术终于打破了软硬件之间的最后屏障,使硬件设计软化了,而不受产品是否已交付使用的限制,给电子产品的设计和生产带来了革命性的变化。

不仅如此,随EDA技术的发展和FPGA/CPLD在深亚微米领域的进军,片上系统将成为可能。

随着互联网上的EDA服务的成熟,EDA产业界认为:

今后五年内庞大的公司大楼将退出历史舞台。

分散的设计小组;远程设计工具的利用;网上详尽的零部件信息;以及设计项目的远程管理等将得以在全世界范围内实现,而且反应的迅速程度可以和目前企业网达到的水平相差无几。

EDA是电子设计自动化(ElectronicDesignAutomation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL(HardwareDescriptionlanguage)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

也就是说,综合器是软件描述与硬件实现的一座桥梁。

综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

  适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。

适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

  

硬件描述语言HDL是相对于一般的计算机软件语言,如:

C、PASCAL而言的。

HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。

设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。

目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

 

2.EDA发展的最新动向

随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。

由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子设计技术[4]再次推向又一崭新的历史阶段。

这些新的发展大致包括这样6个方面:

①新器件;②新工具软件;③嵌入式系统设计;④DSP系统设计;⑤计算机处理器设计[5.6];⑥与ASIC市场的竞争技术。

以下将分别予以说明。

2.1新器件

  由于市场产品的需求和市场竞争的促进,成熟的EDA工具所能支持的,同时标志着最新EDA工具所能支持的,同时标志着最新EDA技术发展成果的新器件不断涌现,其特点主要表现为:

(1)大规模。

(2)低功耗

(3)模拟可编程。

(4)含多种专用端口和附加功能模块的FPGA。

2.2新工具软件

 为了适应更大规模FPGA的开发,包括片上系统的DSP的开发,除了第三方EDA公司不断更新的通用EDA工具外,主要PLD供应商也相继推出,并适时升级其EDA开发工具。

  2.3在FPGA中植入嵌入式系统处理器

目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。

但是,这种将IP硬核植入FPGA的解决方案存在5种不够完美之处。

但是如果利用软核嵌入式系统处理器就能有效地解决那五种不利因素。

它们分别是Altera的Nios核与Xilinx的MicroBlaze。

特别是前者。

在开发工具的完备性方面、对常用的嵌入式操作系统支持方面,Nios都优于MicroBlaze。

就成本而言,由于Nios是由Altera直接推出而非第三方产品,故用户通常无需支付知识产权费用,Nios的使用费仅仅是其占用的FPGA的逻辑资源费。

因此,选用的FPGA越便宜,则Nios的使用费就越便宜。

2.4基于FPGA的DSP系统设计

在这去很长一段时间内,DSP处理器(如T1的TMS320系列)是DSP应用系统核心器件的唯一选择。

尽管DSP处理器具有通过软件设计能适用于不同功能实现的灵活性,但面对当今迅速变化的DSP应用市场,特别是面对现代能信技术的发展,早已显得力不从心了。

  现代大容量、高速度的FPGA的出现,克服了上述方案的诸多不足。

在这些FPGA中,一般都内嵌有可配置的高速RAM、PLL、LVDS、LVTTL以及硬件乘法累加器等DSP模块。

用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的DSP系统非常易于修改、易于测试及硬件升级。

2.5计算机处理器设计

EDA技术与FPGA在通信领域中的成功已是众所周知的事实了,而对于一般的处理器的实现也已司空见惯。

如利用硬件描述语言设计嵌入式系统处理器、各类CPU或单片机等,并以软核的形式在FPGA中实现。

但利用FPGA实现高性能的处理器,乃至超级计算机处理器的功能,不能不说是一项崭新的尝试。

目前,尽管基于EDA技术的计算机处理器的FPGA实现尚未进入全面的商业化开发阶段,但其研究和应用的成果却不得不令人深感FPGA在这一领域中的巨大潜力和广阔的市场。

2.6与ASIC市场的竞争技术

尽管EDA技术开发对象是ASIC和FPGA[7],但它们在应用领域中的优势和劣势的对比历来十分鲜明。

然而在近年来,随着EDA开发工具功能的不断加强,FPGA器件性能的提高,这种对比在许多方面正在趋于模糊。

一方面,相对于ASIC应用市场,具有竞争力的FPGA器件的出现,使FPGA原来在单片成本、逻辑规模和工作速度等方面相对于ASIC的劣势越来越小,而其巨大的灵活性、现场可配置性(相当于现场硬件升级或硬件重构)、良好的设计效率和成功率,使得FPGA成为ASIC市场竞争者的地位不断强化。

Altera推出的Cyclone系列FPGA和Xilinx推出的Spartan-3系列FPGA都称为此类大规模可编程器件的代表。

当然这只是一种间接的竞争与替代。

另一方面,通过强化EDA工具的设计能力,在保持FPGA开发优势的前提下,引入ASIC的开发流程,从而对ASIC市场形成直接竞争。

这就是Altera推出的HardCopy技术。

  由于EDA技术是面向解决电子系统最基本最低层硬件实现问题的技术,因此就其发展趋势的横向看,势必涉及越来越广阔的电子技术及电子设计技术领域。

其中包括电子工程、电子信息[3]、通信、航天航空、工业自动化、家电、生物工程等等。

而且随着大规模集成电路技术的发展和EDA工具软件功能的不断加强,所涉及的领域还将不断扩大;而从纵向看,EDA技术实现的硬件形式和涉及的理论模型必将走向一个统一的结合体,即单片系统SOC或SOPC。

 

3.关于EDA技术的应用

可以说现在的EDA技术的发展史非常迅猛的,而且应用也非常的广泛。

在教学这一方面,特别是电子类的专业的时间当中几乎是所有的理工科类的高校都会开设EDA的课程。

开设的目的主要就是为了能够让学生更加的了解EDA的基本原理以及基本概念,让它更容易掌握描述系统的落机方法,不仅能够让实验设备或者是设计出的电子系统能够更加具有高的可靠性,不仅又经济、快速而且很容易实现,修改起来也很方便。

与此同时能够大大的提高学生的实践动手能力、创新能力以及计算机应用能力,从而为今后的工作打下扎实的基础。

就譬如说在学习CDMA的无线通信系统的当中,所有的移动手机以及无线基站都在一个相同的频谱工作,也是为了区别开来呼叫的不同性,每一个手机都拥有一个唯一的码序列,CDMA基站必须要能够将这些不一样的观点的码序进行分辨才能够辨别出不同的传呼进程,这样就是为了能够通过匹配的滤波器的输出现实在出入数据流当中能够探测到一个固定的码序列:

FPGA。

也是为了能够获取良好的滤波器的设计而完成的DSP的高级数据处理的功能,所以在FPGA的现代通信领域上面说获得的广泛的应用。

在产品设计以及制造方面,从高性能的微处理器、数字信号处理器最后到彩电、音响还有电子玩具的电路等方面,EDA的技术不仅仅是应用在前期的计数机模拟仿真、产品调试,并且也是在电子设备的研制还有生成、电路板的焊接到呢个方面有着非常重要的作用。

总的来说电子EDA的技术依然成为了电子工业领域不可或缺的一部分了。

EDA技术发展非常迅速,渐渐地在教学、科研、产品设计还有制造等方面都发挥了非常巨大的作用。

在科研方面:

主要利用的就是通过利用电路的仿真工具所进行的电路设计以及仿真,通过利用虚拟仪器进行产品的调试等方面。

EDA在产品的设计还有制造方面的应用也是非常好的:

先是从高性能的微处理器、数字信号处理器一直到彩电、音响还有带你玩具电路这样的,EDA技术不仅仅是将前期的计算机模拟仿真进行应用,更是将PCB的制作、电子设备的研发、电路板的焊接还有ASIC的流片过程等这些都发生了非常重要的作用。

可以说电子EDA技术[7]已经成为了电子工业领域当中的一项不可或缺的技术了。

世界上很多国家都在积极进行软件开发的工作,新的EDA软件设计工具如雨后春笋般相继被研发出来,美国的研发科技一直处在前沿的位置,占整个市场的主导地位,日本和韩国也有很强的芯片设计工具,但是他们并不对外开放,我们国家的芯片设计研发水平有限,技术也不是很成熟,所以设计软件的性能还不是很强。

不过,根据最新的统计显示,我国和印度正在成为EDA技术设计方面[8]发展最快的两个市场,相信在不久的将来,我国的科技工作者和设计团队会赶上世界先进水平,将这一优秀的电子设计技术更好的应用到社会发展的各个领域,为祖国建设提供更好的技术支持。

 

4.电子抢答器的EDA设计与实现

4.1电子抢答器的功能

该电子抢答器实现的功能主要包括四项操作:

4.1.1第一抢答信号的鉴别和锁存

该电子抢答器共设4个组别,每组控制一个抢答开关,分别为a,b,c,d。

在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,同时显示器显示出抢答者的组别。

同时,电路处于自锁状态,以使其他组的抢答器按钮不起作用。

4.1.2计时功能

在初始状态时,主持人可以设置答题时间的初时值。

在主持人对抢答组别进行确认,并给出倒计时计数开始信号以后,抢答者便可开始回答问题。

此时,显示器从初始值开始倒计时,计至0时停止计数,同时扬声器发出超时报警信号。

若参赛者在规定的时间内回答完问题,主持人即可给出计时停止信号,以免扬声器鸣叫。

4.1.3计分功能

在初始状态时,主持人可以给每组设置初始分值。

每组抢答完后,由主持人打分,答对一次加1分,答错一次减1分。

4.1.4犯规设置

对提前抢答者和超时抢答者给予蜂鸣警示,并显示犯规组别。

4.2电子抢答器的结构原理[9]

4.2.1电子抢答器的整体结构

电子抢答器的整体结构如图1所示,它包括鉴别与锁存模块、定时与犯规设置模块以及计分模块。

4.2.2鉴别与锁存模块设计

鉴别与锁存模块的主要功能是用于判断a、b、c、d四个组别抢答的先后,记录最先抢答的组别号码,并且不再接受其它输入信号,而对最先抢答的组别锁存,同时显示最先抢答的组别。

根据以上功能要求,该模块的源程序必须包含四个抢答输入信号。

现将其信号分别设为a、b、c、d;抢答时必须要有一个允许开始抢答信号,将其信号设为sta,该信号输入后,其输出指示灯亮,以便选手知道允许抢答信号已发出,故可设置一个sta的输出指示灯信号为start;为了鉴别最先抢答者,可分别设置a、b、c、d组的输出指示灯为led_a、led_b、led_c、led_d,同时设置显示最先抢答组别号码的输出信号为states[3..0];为了使系统进入重新抢答状态,还需要设置一个系统复位信号,可将其设为rst。

其鉴别与锁存模块的仿真波形如图2所示。

图2鉴别与锁存模块的仿真波形

通过图2可以看出,当rst=1时,系统处于初始状态,此时所有输入均无效;当rst=0且sta=0时,抢答无效;而当rst=0且sta=1时,start指示灯亮,d组为最先有效抢答组别,led_d指示灯亮,并显示抢答成功组别d组为“0100”。

通过图2的仿真图及分析说明,可见其鉴别与锁存模块的功能设计正确。

4.2.3电子抢答器定时与犯规模块设计

定时与犯规模块的主要功能是用来对答题限时。

当倒计时时间计为0时,系统将输出报警信号,以对提前抢答者给予蜂鸣警示并显示犯规组别号码。

根据以上功能设计要求,该模块需要设置一个倒计数器来限制答题时间,可将其信号设为time[7..0]。

为了使倒计时器能够开始或停止工作,应设置一个计时使能输入信号en;为了确定是否有选手提前抢答或超时答题,可将允许抢答信号sta和四个抢答输入(a、b、c、d)、显示抢答成功组别states[3..0]、系统时钟信号clk_1hz等作为输入信号,而将犯规报警器信号alarm和犯规组别显示offender作为输出信号。

为了使蜂鸣器停止报警或使系统重新进入有效抢答状态,应设置系统复位输入信号rst。

定时与犯规模块的仿真波形

如图3所示,其中图3(a)为抢答犯规及暂停计时控制功能的仿真,图3(b)为答题犯规控制功能的仿真。

(a)抢答犯规及暂停计时控制功能的仿真

(b)答题犯规控制功能的仿真

图3定时与犯规模块仿真波形

通过图3(a)可以看出,当rst=1时,抢答无效,倒计时器初始值设为60s;当rst=0,且sta=0时,d组提前抢答,报警器开始报警,offender显示犯规组别“0100”,说明提前犯规组别为d组。

此后主持人按下rst键,使rst=1,此时报警器停止报警,系统进入初始状态;而当rst=0且sta=1,a组抢答成功,计时使能信号en=1,当时钟信号clk_1hz的上升沿来时,倒计时器开始计时,当a组在限定时间内回答完问题,主持人按下计时使能信号,使en=0,倒计时器停止计时,同时防止报警器报警。

而通过图3(b)可以看出,当rst=0,sta=1时,a组抢答成功,但没在限定时间内回答完问题,60s倒计时时间计为0时,报警器开始报警,of-fender显示犯规组别为“0001”,说明超时犯规组别为a组;主持人按下复位键,使rst=1,报警器停止报警,offender显示“0000”,即将犯规组别的号码清零,系统重新进入初始状态。

4.2.4计分模块的设计

计分模块[10]的主要功能是对抢答成功并答对的组别进行加分操作或对抢答成功但答错的组别进行减分操作,同时通过译码显示电路显示出来。

根据以上的功能设计要求,该模块需要将加、减分操作add、sub和系统时钟clk_1hz作为输入信号,而各组别的分数显示作为输出信号aa0[3..0]、bb0[3..0]、cc0[3..0]、dd0[3..0];为了确定给哪个组别加或减分,需要有一个抢答成功组别的输入信号,可将其设为chose。

为了使系统能进入下一轮的抢答,应设置系统复位输入信号rst。

其计分模块的仿真模型如图4所示。

通过图4可以看出,当rst=1时,系统进入初始状态,a、b、c、d组的初始分值都为5,当add=1,系统时钟信号clk_1hz的一个上升沿到来时,就给chose当前鉴别的组别“0001”组加1分,当sub=1,系统时钟信号clk_1hz来一个上升沿时,就给chose当前鉴别的组别“0010”组减1分。

图4计分模块的波形仿真图

4.3体会

与电子课程设计相结合,运用QuartusⅡ软件进行仿真,进一步理解了智能抢答器的工作原理,加强了对QuartusⅡ软件的应用熟练度,与EDA技术相结合,运用VHDL语言,虽然不是特别熟练,但是一定程度上可以理解其含义,为以后得学习奠定了基础。

EDA技术为现代数字系统理论和设计的表达与应用提供了可能性,它已不是某一学科的分支,而是一门综合性学科[14]。

EDA技术打破了计算机软件与硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了数字电子设计技术和应用技术的发展方向[12]。

21世纪将是EDA技术[11]的高速发展时期,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。

EDA将会超越电子设计的范畴进入其他领域,随着基于EDA的SoC设计技术[13]的发展、软硬核功能库的建立、IP核复用,以及基于HDL的自顶向下的设计理念的确立,未来的电子系统级设计(ESL)将不再是电子工程师们的专利。

 

参考文献:

[1]MamoonHamidXilinx利用可编程逻辑器件设计高性能蓝芽接入点EDNChina2001.8.30.

[2]GabeMoretti互联网上的EDA:

可以迅速获得实际结果EDNChina2001.1.30.

[3]胡丹.基于VHDL的智力竞赛抢答器的设计与实现[J].现代机械,2007.

[4]俞承芳.电子系统设计[M].上海:

复旦大学出版社,2004.

[5]章彬宏.EDA应用技术[M].北京:

北京理工大学出版社,2007,7.

[6]潘松,黄继业.EDA技术实用教程[M].北京:

科学出版社,2002:

1-14.

[7]黄仁欣.EDA技术实用教程[M].北京:

清华大学出版社,2006:

1-9.

[8]潘松,赵敏.EDA技术及其应用[M].北京:

科学出版社,2007:

5-20.

[9]擦光辉.CPLD/TPGA的开发与应用[M].北京:

电于工业出版社,2002.

[10]杜玉远.EDA设计快速入门圆.电子世界,2004.

[11]符兴昌.EDA技术在数字系统设计分析中的应用[J].微计算机信息,2006,5-2:

267-269.

[12]潘松.EDA技术实用教程[M].北京:

科学出版社.2002,10.

[13]杜玉远.EDA设计快速入门[J].电子世界,2004

(1):

24.

[14]李洋.《EDA技术实用教程》北京:

机械工业出版社2008

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 小学教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1