数字示波器4.docx

上传人:b****6 文档编号:4376288 上传时间:2022-12-01 格式:DOCX 页数:12 大小:151.63KB
下载 相关 举报
数字示波器4.docx_第1页
第1页 / 共12页
数字示波器4.docx_第2页
第2页 / 共12页
数字示波器4.docx_第3页
第3页 / 共12页
数字示波器4.docx_第4页
第4页 / 共12页
数字示波器4.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

数字示波器4.docx

《数字示波器4.docx》由会员分享,可在线阅读,更多相关《数字示波器4.docx(12页珍藏版)》请在冰豆网上搜索。

数字示波器4.docx

数字示波器4

数字示波器

作者:

张春水喻磊王治国

赛前辅导教师:

黄根春张望先文稿整理辅导教师:

黄根春徐大敏

摘要

本系统基于等效采样原理,以单片机为控制核心,充分利用FPGA资源,实现了从1Hz到

10MHz波形实时采样和输出,同时可对波形进行实时存储和连续显示;以实用数字示波器

为参照,实现了频率,峰峰值的测量和显示,其频率测量误差<0.1%,电压测量误差<3%;

同时实现了最高200MSa/s的等效采样速率。

关键词:

数字示波器、等效采样

英文摘要

Thissystemisbasedontheequivalenttimesamplingmethod.Itusesthemicrocontrollerasthe

core-contronleranddevelopstheresourceoftheFPGAfully.Itachievestheoutputfrom1Hzto10MHz.It

canstoreandreplaythewaveform;measuresanddisplaysthefrequencywhoseinaccuracyis

below0.1%andtheVp-pwhoseinaccuracyisbelow3%.Atlast,itcanreach200MSa/s

equivalenttimesamplingrate.

Keyword:

digitaloscilloscope,equivalenttimesampling

一、方案论证与比较

1.采样方式

方案一:

实时采样。

实时采样是在信号存在期间对其采样,如图1.1所示。

根据采样定理,采用速率必须高于信号最高频率分量的两倍。

对于周期的正弦信号,一个周期内至少应该有两个采样点。

为了配合高速模数转换器,必须用FPGA代替单片机准确的定时控制ADC的采样速率。

以实现高速实时采样。

方案二:

等效时间采样法。

采用中高速模数转换器,对于频率较高的周期性信号采用等效时间采样的方法,即对每个周期或多个周期仅采样一个点,经过若干个周期后就可对信号各个部分采样一遍。

而这些点可以借助步进延迟方法均匀地分布于信号波形的不同位置。

其中步进延迟是每一次采样比上一次样点的位置延迟△t时间。

只要精确控制从触发获得采样的时间延迟,就能够准确地恢复出原始信号,如图1.2所示。

图1.2等效时间采样示意图图

图1.1实时采样示意图

题目要求A/D实时采样率<1MHz,输入信号范围10Hz-10MHz,这里我们采用两种方法结合的方式来满足要求。

2.触发方式

方案一:

采用外部硬件电路触发。

其核心器件为比较器,当信号大于所设比较触发电平时,即产生一次触发。

但专用IC比较器在低频段上升沿有较大毛刺,使触发很不稳,导致波形的晃动。

方案二:

采用内部软件触发,通过软件设置触发电平,软件设置的施密特触发器参数容易修改,可以很好的抑制比较器产生的毛刺。

当所采样值大于该触发电平时,产生一次触发。

由于方案二可排除硬件毛刺产生的干扰,触发和波形较稳定,且易实现触发电平的调整,故采用方案二。

3.频率的测量

方案一:

等精度测量法

在预定的闸门时间T0内,分别用计数器1和计数器2同时对被测信号fx和基准信号f0进行计数,设所得值为Nx和N0,则被测信号的频率为:

fx=(Nx/N0)*f0;

参考计数器的最高计数频率的限制,选取合适的基准信号频率和恰当的闸门开启时间,便可以在中高频率的范围内使测频精度不变,即等精度测量。

方案二:

测周法

即以待测信号为门限,用计数器记录在此门限内的高频标准时钟脉冲数,从而确定待测信号的频率。

当选定高频时钟脉冲而被测信号频率较低时可以获得很高的精度,而被测信号频率过高时由于测量时间不够会有精度不够的问题,适用于中低频信号的测量。

本系统频率范围为10Hz~10MHz。

因此我们将此频率段分为两段,10KHz以上,采用方案一,10KHz以下,采用方案二。

以缩短测量时间。

二、系统设计

整个系统由前级信号处理与采集单元、控制系统、存储模块、输出显示模块和控制面板等组成。

阻抗变换电路实现1M欧姆输入阻抗,信号经信号调理模块(程控,加法器等),将模拟信号调理到0V—5V,然后通过Max114对信号采样;另一方面,系统根据测频模块输出调整可控分频模块,输出采样率为CLK的时钟给A/D进行实时采样,这是一个动态的跟踪过程,可实现实时采样;采样的数据一方面送入RAM_Y1作列扫描用,另一方面可在外部锁存信号下送入RAM_Y2存储,并在需要时调出显示。

波形显示模块实现波形输出;A/D采入的数据经过FPGA内的比较器可检测出一个周期内幅度的最大最小值之差,可求得峰峰值;键盘模块为系统对外界接口,显示模块在单片机控制下显示系统状态。

图2.1系统总体框图

三、理论分析与计算

1、等效采样分析

等效采样是用低频时钟采高频信号的一种信号采集方法,设被测周期信号f(t)的周

期为T,若将f(t)的一个周期T以△t等分,则在采样时钟周期为Tc,且Tc=m×T△t(m为正整数)时,有f(kTc)=f(m×k×T+k×△t)=f(k△t),即在kTc时刻可实现对f(t)一个周期内的第k△t等分点的采集。

显然,只要增大m,即使T很小(信号频率大),也可用比输入信号低得多的频率实现较好的采样。

按照这个理论,设此数字示波器扫描频率为fc输入信号频率为fi(一个周期内采样点数为fc/fi),计算可得采样时钟周期为m×T+T/(fc/fi),调整m的值,便可使采样时钟频率<1MHZ。

同时,由于最高输入频率为10MHz,假设我们对10MHz信号一个周期采样20个点,就可实现题目要求的200MSa/s的等效采样率。

但上面分析之△t必须<=5ns,由于我们的采样时钟是在FPGA内实现的,故FPGA至少要有频率>=200MHZ的参考时钟。

2、垂直灵敏度和前端放大倍数的实现

垂直灵敏度和前端放大倍数成反比例关系,题目要求垂直灵敏度为1V/div,0.1V/div,发挥部分要求为0.002V/div,垂直刻度为8div。

对于不同的垂直灵敏度,示波器满度显示时输入信号的幅度为

由于ADC的输入电压的峰峰值Vp-p=5V,因此需要通过程控放大器将输入电压调整到ADC的输入电压范围内。

我们针对3个档位分别设计放大或者衰减电路,档位之间的切换使用继电器实现。

表3.1垂直灵敏度量程

垂直灵敏度(V/div)

1V/div

0.1V/div

0.0002

放大倍数

0.625

6.25

312.5

3、扫描速度与ADC芯片的选取

ADC的选取涉及以下两个参数,ADC的位宽和转换速率。

根据题目要求,8位数据位宽,实时采样率<=1MSa/s,故选用max114。

本设计中我们取水平分辨率20点/div。

则对应的扫描时间SCAN和等效采样率关系为fc=20/SCAN。

我们设置的扫描档位如下。

表3.2扫描时间T(/div)和等效采样率(Sa/s)关系表

T

100ns

200ns

400ns

2us

4us

20us

40us

200us

400us

2ms

4ms

20ms

200ms

400ms

Fc

200M

100M

50M

10M

5M

1M

500K

100K

50K

10K

5K

1K

100

50

4、波形数据的处理

峰-峰值的测量扫描RAM中的波形数据,查找数据的最大值和最小值。

再根据如下公式计算波形的峰-峰值:

Vpp=[(Dmax-Dmin)/(255/8)]×A

其中,Dmax为波形数据的最大值;Dmin为波形数据的最小值;A为垂直分辨率,单位为V/div。

四、主要功能电路设计

1.阻抗变换电路

如附录图4.1,AD811宽带高速运放,正端输入阻抗为1.5M欧姆,在此端并联3M的电阻,并将运放接成为射随形式,可实现1M欧姆输入阻抗要求。

图4.1阻抗变换电路图4.20.625倍放大电路

2.程控放大电路

程控放大是通过切换继电器实现的,针对3个不同的垂直灵敏度,分别实现3个档位的放大倍数。

(1)图4.2对应这1V/div,是0.625倍的放大电路

(2)图4.3对应0.1v/div,实现了6倍放大

(3)题目要求实现对几毫伏信号的采集与实现,必须使用宽带放大电路实现放大。

如下图4.4,用OPA637与AD844级联实现约300倍的放大。

图4.36.25倍信号放大电路图4.4312.5倍(49.9dB)小信号放大

3.加法器电路

程控放大后的信号需经过加法电路将电平移动到0-5V的范围内,如图4.5所示,所使用的宽频带集成运放LM7171具备4100V/us的摆率,可将输入的大幅度信号以较小的失真输出。

图4.5加法器电路图4.6采样保持电路

4.取样保持电路

取样保持电路的原理图如图4.4所示,时钟CLK控制开关的通断,当S导通时,输入信号经S向电容充电,充电结束后,Vout=Vin;当S断开时,电容的电压值在一段时间内保持不变,取样结果也被保存。

电容的漏电越小,运放的输入阻抗越大,Vout保持的时间越长。

如图4.6所示,选用高输入阻抗的运放LM7171以射级跟随器的形式实现信号的隔离,模拟开关S选用TI公司的模拟开关TS12A4515,此芯片在12V供电时的最大导通电阻为Ron=50欧姆,电容选用低漏电型100pF聚苯电容,于是S和电容组成的低通滤波器的截止频率为1/(2*pi*C*Ron)>10M,即10M的输入信号经取样保持电路后幅度不会下降。

5.整形电路

分两段设计整形电路,整形电路将输入的周期信号整成同频的方波输入FPGA进

行测频。

如图4.7,信号进入LM311滞回比较,可较好消除边缘毛刺,实现1HZ-10K

整形。

如图4.8,MAX912是高频比较器。

输入信号经MAX477开环放大后送入MAX912进行滞回比较,可获得较为理想的方波整型信号。

图4.710HZ-10K整形图4.810KHZ-10MHZ整形

6.方波校准信号产生电路

如图4.9所示,FPGA分频出100K的占空比50%的时钟信号,先经过带衰减的减法器变为标准方波信号,幅度稳定在0.3V。

图4.9方波校准信号产生电路

五、系统软件设计

1.内部触发功能

采用内部软件触发,通过软件设置触发电平,当所采样值大于该触发电平时,产生一次触发。

2.采样时钟输出模块

采样时钟的实现是系统软件设计的关键。

我们在FPGA内部利用数字锁相环倍频出200MHZ的参考时钟,同时充分利用FPGA编程资源实现<1MHz的采样时钟信号输出模块。

3.软件流程图

如图5.1所示,单片机实现人机交互。

系统以键盘为控制信号输入端,单片机获

取控制信息后驱动FPGA中相应模块实现各功能输出,同时将各种状态信息显示在液晶显示器上。

图5.1软件流程图

六、系统测试

1.使用的仪器及型号

开发平台:

Athlon642800,WindowsXP;

直流稳压电源:

SG1733SB3A

60M示波器:

TektronixTDS1002

数字信号源:

TektronixAFG310

2.测试方法

(1)将系统各模块分开测试,调通后再整体调试。

(2)系统整体测试。

FPGA及单片机程序擦写好后,依据设计要求分别测试输入信号频率从10Hz到10MHz,幅度从8mv到8v的峰峰值、频率等,并实现波形的输出。

最后测试发挥部分,实现题目要求。

 

3.测试数据

表6.1幅度(输入Vi,实测Vc),频率测量(输入Fi,实测Fc),

实测周期测量(Tc)(Vi,Vc都是峰峰值)

Fi(HZ)

1

10

50

100

500

1K

2K

Fc(HZ)

1.000

10.000

50.000

100.000

500.000

999.99

2.0000K

Tc(us)

999.99K

99.999K

19.999K

10.000K

1.9999K

1.0000K

499.99

Vc

 

Vi=8mv

7.820

7.919

8.170

8.044

8.421

8.421

8.170

16mv

15.208

15.460

15.460

15.330

15.711

15.460

15.208

400mv

391.707

396.484

396.484

401.261

401.261

401.261

401.261

800mv

788.192

792.969

792.969

797.746

797.746

797.746

797.746

4v

3.9602

3.9602

4.0070

4.0070

3.9602

4.0070

4.0070

8v

7.8901

7.9369

7.8901

7.8901

7.8901

7.8901

7.8901

Fi(HZ)

10K

50K

100K

500K

1M

5M

10M

Fc(HZ)

9.9997K

49.9998K

99.997K

500.000K

1.0001M

5.0000M

10.0000M

Tc(us)

100.00

20.000

9.9995

1.9999

0.9998

0.1999

0.0999

Vc

 

Vi=8mv

8.421

8.170

8.169

8.044

8.295

8.185

8.058

16mv

16.088

15.962

15.711

15.837

15.711

15.714

15.708

400mv

401.261

401.261

401.261

401.261

406.038

410.815

339.161

800mv

797.746

797.746

797.746

797.746

797.746

764.308

702.207

4v

4.0070

4.0070

4.0070

4.0538

4.0538

4.1941

4.0538

8v

7.8901

7.8901

7.8901

7.8901

7.8901

7.7965

7.9369

表6.2方波校准信号频率测量

99.998KHz

100.001KHz

99.995KHz

99.998KHz

100.001KHz

4.测试效果分析

(1)测量信号范围:

1Hz-10MHz;

仪器输入阻抗:

1M欧姆

水平分辨率:

20点/div

(2)垂直灵敏档位:

1v/div,0.1v/div,0.002v/div均实现

电压测量误差:

<3%

(3)实时采样率<1MHz,等效采样率最大200MHz;

扫描速度:

共16档,包含20ms/div,2us/div,100ns/div三档;

周期测量误差:

<0.1%

(4)在FPGA内实现了内触发,触发电平可调

(5)波形无明显失真

(6)实现了存储及单次触发功能

(7)能够输出幅值为0.3V的方波校准信号;频率误差<0.1%

 

TI公司芯片介绍:

1.模拟开关——TS12A4515:

该片子在12V的供电电压下只有15欧姆的导通电阻,在正常情况下,其泄漏电流也比较小,大约为1nA。

其时间响应也很快,在12V的情况下,tON=80ns,tOFF=50ns。

在这个实验里,信号输入的带宽要求达到10M,所以取样保持电路的带宽必须略大于10M,而该芯片的导通电阻和时间响应都很小,而且带宽也达到了实验要求。

如图1所示,为该片的结构图,其结构简单,使用方便。

图1芯片结构图

图2为该片的使用电路图,NC为信号输入端,IN为信号控制端,一般接CLK信号,COM为信号输出端,当IN为高电平时,模拟开关断开,当IN为低电平时,

 

图2模拟开关典型应用图

2.OPA637运算放大器

该芯片为低噪声,高增益,高带宽运算放大器。

其结构图如右,电源电压范围为VS=±4.5到±18V。

为让运放稳定工作,最好在电源上加上滤波电容。

如图4为运放典型应用图,跟其他运放的引脚完全兼容,使用方便。

 

图3运放结构图图4运放的典型应用图

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1